This commit is contained in:
hathach 2018-07-13 00:33:46 +07:00
parent a623f0c179
commit ffdd925854
4 changed files with 10 additions and 10 deletions

View File

@ -103,7 +103,7 @@ void tud_umount_cb(uint8_t port)
{ {
} }
void tud_cdc_rx_cb(uint8_t port) void tud_cdc_rx_cb(uint8_t itf)
{ {
} }

View File

@ -95,16 +95,16 @@ void virtual_com_task(void)
//--------------------------------------------------------------------+ //--------------------------------------------------------------------+
// tinyusb callbacks // tinyusb callbacks
//--------------------------------------------------------------------+ //--------------------------------------------------------------------+
void tud_mount_cb(uint8_t port) void tud_mount_cb(uint8_t rhport)
{ {
} }
void tud_umount_cb(uint8_t port) void tud_umount_cb(uint8_t rhport)
{ {
} }
void tud_cdc_rx_cb(uint8_t port) void tud_cdc_rx_cb(uint8_t itf)
{ {
} }

View File

@ -67,7 +67,7 @@ void cdc_serial_app_umount(uint8_t rhport)
} }
void tud_cdc_rx_cb(uint8_t rhport) void tud_cdc_rx_cb(uint8_t itf)
{ {
} }