uart: add one data bit for parity

This commit is contained in:
King Kévin 2020-05-31 23:51:36 +02:00
parent 660d351bcb
commit 3ce0eaea91
1 changed files with 1 additions and 1 deletions

View File

@ -59,7 +59,7 @@ void uart_setup(void)
/* setup UART parameters */
usart_set_baudrate(USART(UART_ID), UART_BAUDRATE);
usart_set_databits(USART(UART_ID), 8);
usart_set_databits(USART(UART_ID), 9); // 8 data bits, 1 parity bit
usart_set_stopbits(USART(UART_ID), USART_STOPBITS_1);
usart_set_mode(USART(UART_ID), USART_MODE_TX_RX);
usart_set_parity(USART(UART_ID), USART_PARITY_EVEN);