UART: set to common 115200 baudrate

This commit is contained in:
King Kévin 2018-06-08 13:39:40 +02:00
parent 444534e214
commit c6f78ad2ee
1 changed files with 1 additions and 1 deletions

View File

@ -40,7 +40,7 @@
#define UART_ID 1 /**< USART peripheral */ #define UART_ID 1 /**< USART peripheral */
/** @} */ /** @} */
#define UART_BAUDRATE 921600 /**< serial baudrate, in bits per second (with 8N1 8 bits, no parity bit, 1 stop bit settings) */ #define UART_BAUDRATE 115200 /**< serial baudrate, in bits per second (with 8N1 8 bits, no parity bit, 1 stop bit settings) */
/* output ring buffer, indexes, and available memory */ /* output ring buffer, indexes, and available memory */
static volatile uint8_t tx_buffer[64] = {0}; /**< ring buffer for data to transmit */ static volatile uint8_t tx_buffer[64] = {0}; /**< ring buffer for data to transmit */