fix intialisation

This commit is contained in:
King Kévin 2016-08-26 08:51:44 +02:00
parent 35ffab225e
commit fb608a8e86
1 changed files with 1 additions and 1 deletions

View File

@ -27,7 +27,7 @@
#include <spi.h> // SPI configuration
volatile uint8_t* spi_b = NULL; // the byte address to transmit/receive
volatile size_t spi_i = NULL; // how many remaining bytes to transmit
volatile size_t spi_i = 0; // how many remaining bytes to transmit
/* receive SPI byte and transmit next on previous transmit completion when transfer is interrupt based (non-blocking) */
ISR(SPI_STC_vect)