diff --git a/tinyusb/class/cdc/cdc.h b/src/class/cdc/cdc.h similarity index 100% rename from tinyusb/class/cdc/cdc.h rename to src/class/cdc/cdc.h diff --git a/tinyusb/class/cdc/cdc_device.c b/src/class/cdc/cdc_device.c similarity index 100% rename from tinyusb/class/cdc/cdc_device.c rename to src/class/cdc/cdc_device.c diff --git a/tinyusb/class/cdc/cdc_device.h b/src/class/cdc/cdc_device.h similarity index 100% rename from tinyusb/class/cdc/cdc_device.h rename to src/class/cdc/cdc_device.h diff --git a/tinyusb/class/cdc/cdc_host.c b/src/class/cdc/cdc_host.c similarity index 100% rename from tinyusb/class/cdc/cdc_host.c rename to src/class/cdc/cdc_host.c diff --git a/tinyusb/class/cdc/cdc_host.h b/src/class/cdc/cdc_host.h similarity index 100% rename from tinyusb/class/cdc/cdc_host.h rename to src/class/cdc/cdc_host.h diff --git a/tinyusb/class/cdc/cdc_rndis.h b/src/class/cdc/cdc_rndis.h similarity index 100% rename from tinyusb/class/cdc/cdc_rndis.h rename to src/class/cdc/cdc_rndis.h diff --git a/tinyusb/class/cdc/cdc_rndis_host.c b/src/class/cdc/cdc_rndis_host.c similarity index 100% rename from tinyusb/class/cdc/cdc_rndis_host.c rename to src/class/cdc/cdc_rndis_host.c diff --git a/tinyusb/class/cdc/cdc_rndis_host.h b/src/class/cdc/cdc_rndis_host.h similarity index 100% rename from tinyusb/class/cdc/cdc_rndis_host.h rename to src/class/cdc/cdc_rndis_host.h diff --git a/tinyusb/class/custom/custom_device.c b/src/class/custom/custom_device.c similarity index 100% rename from tinyusb/class/custom/custom_device.c rename to src/class/custom/custom_device.c diff --git a/tinyusb/class/custom/custom_device.h b/src/class/custom/custom_device.h similarity index 100% rename from tinyusb/class/custom/custom_device.h rename to src/class/custom/custom_device.h diff --git a/tinyusb/class/custom/custom_host.c b/src/class/custom/custom_host.c similarity index 100% rename from tinyusb/class/custom/custom_host.c rename to src/class/custom/custom_host.c diff --git a/tinyusb/class/custom/custom_host.h b/src/class/custom/custom_host.h similarity index 100% rename from tinyusb/class/custom/custom_host.h rename to src/class/custom/custom_host.h diff --git a/tinyusb/class/hid/hid.h b/src/class/hid/hid.h similarity index 100% rename from tinyusb/class/hid/hid.h rename to src/class/hid/hid.h diff --git a/tinyusb/class/hid/hid_device.c b/src/class/hid/hid_device.c similarity index 100% rename from tinyusb/class/hid/hid_device.c rename to src/class/hid/hid_device.c diff --git a/tinyusb/class/hid/hid_device.h b/src/class/hid/hid_device.h similarity index 100% rename from tinyusb/class/hid/hid_device.h rename to src/class/hid/hid_device.h diff --git a/tinyusb/class/hid/hid_host.c b/src/class/hid/hid_host.c similarity index 100% rename from tinyusb/class/hid/hid_host.c rename to src/class/hid/hid_host.c diff --git a/tinyusb/class/hid/hid_host.h b/src/class/hid/hid_host.h similarity index 100% rename from tinyusb/class/hid/hid_host.h rename to src/class/hid/hid_host.h diff --git a/tinyusb/class/msc/msc.h b/src/class/msc/msc.h similarity index 100% rename from tinyusb/class/msc/msc.h rename to src/class/msc/msc.h diff --git a/tinyusb/class/msc/msc_device.c b/src/class/msc/msc_device.c similarity index 100% rename from tinyusb/class/msc/msc_device.c rename to src/class/msc/msc_device.c diff --git a/tinyusb/class/msc/msc_device.h b/src/class/msc/msc_device.h similarity index 100% rename from tinyusb/class/msc/msc_device.h rename to src/class/msc/msc_device.h diff --git a/tinyusb/class/msc/msc_host.c b/src/class/msc/msc_host.c similarity index 100% rename from tinyusb/class/msc/msc_host.c rename to src/class/msc/msc_host.c diff --git a/tinyusb/class/msc/msc_host.h b/src/class/msc/msc_host.h similarity index 100% rename from tinyusb/class/msc/msc_host.h rename to src/class/msc/msc_host.h diff --git a/tinyusb/common/binary.h b/src/common/binary.h similarity index 100% rename from tinyusb/common/binary.h rename to src/common/binary.h diff --git a/tinyusb/common/compiler/tusb_compiler_gcc.h b/src/common/compiler/tusb_compiler_gcc.h similarity index 100% rename from tinyusb/common/compiler/tusb_compiler_gcc.h rename to src/common/compiler/tusb_compiler_gcc.h diff --git a/tinyusb/common/compiler/tusb_compiler_iar.h b/src/common/compiler/tusb_compiler_iar.h similarity index 100% rename from tinyusb/common/compiler/tusb_compiler_iar.h rename to src/common/compiler/tusb_compiler_iar.h diff --git a/tinyusb/common/timeout_timer.h b/src/common/timeout_timer.h similarity index 100% rename from tinyusb/common/timeout_timer.h rename to src/common/timeout_timer.h diff --git a/tinyusb/common/tusb_common.h b/src/common/tusb_common.h similarity index 100% rename from tinyusb/common/tusb_common.h rename to src/common/tusb_common.h diff --git a/tinyusb/common/tusb_compiler.h b/src/common/tusb_compiler.h similarity index 100% rename from tinyusb/common/tusb_compiler.h rename to src/common/tusb_compiler.h diff --git a/tinyusb/common/tusb_error.h b/src/common/tusb_error.h similarity index 100% rename from tinyusb/common/tusb_error.h rename to src/common/tusb_error.h diff --git a/tinyusb/common/tusb_fifo.c b/src/common/tusb_fifo.c similarity index 100% rename from tinyusb/common/tusb_fifo.c rename to src/common/tusb_fifo.c diff --git a/tinyusb/common/tusb_fifo.h b/src/common/tusb_fifo.h similarity index 100% rename from tinyusb/common/tusb_fifo.h rename to src/common/tusb_fifo.h diff --git a/tinyusb/common/tusb_types.h b/src/common/tusb_types.h similarity index 100% rename from tinyusb/common/tusb_types.h rename to src/common/tusb_types.h diff --git a/tinyusb/common/tusb_verify.h b/src/common/tusb_verify.h similarity index 100% rename from tinyusb/common/tusb_verify.h rename to src/common/tusb_verify.h diff --git a/tinyusb/device/dcd.h b/src/device/dcd.h similarity index 100% rename from tinyusb/device/dcd.h rename to src/device/dcd.h diff --git a/tinyusb/device/usbd.c b/src/device/usbd.c similarity index 100% rename from tinyusb/device/usbd.c rename to src/device/usbd.c diff --git a/tinyusb/device/usbd.h b/src/device/usbd.h similarity index 100% rename from tinyusb/device/usbd.h rename to src/device/usbd.h diff --git a/tinyusb/device/usbd_pvt.h b/src/device/usbd_pvt.h similarity index 100% rename from tinyusb/device/usbd_pvt.h rename to src/device/usbd_pvt.h diff --git a/tinyusb/host/ehci/ehci.c b/src/host/ehci/ehci.c similarity index 100% rename from tinyusb/host/ehci/ehci.c rename to src/host/ehci/ehci.c diff --git a/tinyusb/host/ehci/ehci.h b/src/host/ehci/ehci.h similarity index 100% rename from tinyusb/host/ehci/ehci.h rename to src/host/ehci/ehci.h diff --git a/tinyusb/host/hcd.c b/src/host/hcd.c similarity index 100% rename from tinyusb/host/hcd.c rename to src/host/hcd.c diff --git a/tinyusb/host/hcd.h b/src/host/hcd.h similarity index 100% rename from tinyusb/host/hcd.h rename to src/host/hcd.h diff --git a/tinyusb/host/hub.c b/src/host/hub.c similarity index 100% rename from tinyusb/host/hub.c rename to src/host/hub.c diff --git a/tinyusb/host/hub.h b/src/host/hub.h similarity index 100% rename from tinyusb/host/hub.h rename to src/host/hub.h diff --git a/tinyusb/host/ohci/ohci.c b/src/host/ohci/ohci.c similarity index 100% rename from tinyusb/host/ohci/ohci.c rename to src/host/ohci/ohci.c diff --git a/tinyusb/host/ohci/ohci.h b/src/host/ohci/ohci.h similarity index 100% rename from tinyusb/host/ohci/ohci.h rename to src/host/ohci/ohci.h diff --git a/tinyusb/host/usbh.c b/src/host/usbh.c similarity index 100% rename from tinyusb/host/usbh.c rename to src/host/usbh.c diff --git a/tinyusb/host/usbh.h b/src/host/usbh.h similarity index 100% rename from tinyusb/host/usbh.h rename to src/host/usbh.h diff --git a/tinyusb/host/usbh_hcd.h b/src/host/usbh_hcd.h similarity index 100% rename from tinyusb/host/usbh_hcd.h rename to src/host/usbh_hcd.h diff --git a/tinyusb/host/usbh_hub.h b/src/host/usbh_hub.h similarity index 100% rename from tinyusb/host/usbh_hub.h rename to src/host/usbh_hub.h diff --git a/tinyusb/license.md b/src/license.md similarity index 100% rename from tinyusb/license.md rename to src/license.md diff --git a/tinyusb/osal/osal.c b/src/osal/osal.c similarity index 100% rename from tinyusb/osal/osal.c rename to src/osal/osal.c diff --git a/tinyusb/osal/osal.h b/src/osal/osal.h similarity index 100% rename from tinyusb/osal/osal.h rename to src/osal/osal.h diff --git a/tinyusb/osal/osal_freeRTOS.h b/src/osal/osal_freeRTOS.h similarity index 100% rename from tinyusb/osal/osal_freeRTOS.h rename to src/osal/osal_freeRTOS.h diff --git a/tinyusb/osal/osal_none.h b/src/osal/osal_none.h similarity index 100% rename from tinyusb/osal/osal_none.h rename to src/osal/osal_none.h diff --git a/tinyusb/portable/nordic/nrf5x/dcd_nrf5x.c b/src/portable/nordic/nrf5x/dcd_nrf5x.c similarity index 100% rename from tinyusb/portable/nordic/nrf5x/dcd_nrf5x.c rename to src/portable/nordic/nrf5x/dcd_nrf5x.c diff --git a/tinyusb/portable/nordic/nrf5x/hal_nrf5x.c b/src/portable/nordic/nrf5x/hal_nrf5x.c similarity index 100% rename from tinyusb/portable/nordic/nrf5x/hal_nrf5x.c rename to src/portable/nordic/nrf5x/hal_nrf5x.c diff --git a/tinyusb/portable/nxp/lpc11xx_lpc13xx/dcd_lpc_11uxx_13uxx.c b/src/portable/nxp/lpc11xx_lpc13xx/dcd_lpc_11uxx_13uxx.c similarity index 100% rename from tinyusb/portable/nxp/lpc11xx_lpc13xx/dcd_lpc_11uxx_13uxx.c rename to src/portable/nxp/lpc11xx_lpc13xx/dcd_lpc_11uxx_13uxx.c diff --git a/tinyusb/portable/nxp/lpc11xx_lpc13xx/dcd_lpc_11uxx_13uxx.h b/src/portable/nxp/lpc11xx_lpc13xx/dcd_lpc_11uxx_13uxx.h similarity index 100% rename from tinyusb/portable/nxp/lpc11xx_lpc13xx/dcd_lpc_11uxx_13uxx.h rename to src/portable/nxp/lpc11xx_lpc13xx/dcd_lpc_11uxx_13uxx.h diff --git a/tinyusb/portable/nxp/lpc17xx/dcd_lpc175x_6x.c b/src/portable/nxp/lpc17xx/dcd_lpc175x_6x.c similarity index 100% rename from tinyusb/portable/nxp/lpc17xx/dcd_lpc175x_6x.c rename to src/portable/nxp/lpc17xx/dcd_lpc175x_6x.c diff --git a/tinyusb/portable/nxp/lpc17xx/dcd_lpc175x_6x.h b/src/portable/nxp/lpc17xx/dcd_lpc175x_6x.h similarity index 100% rename from tinyusb/portable/nxp/lpc17xx/dcd_lpc175x_6x.h rename to src/portable/nxp/lpc17xx/dcd_lpc175x_6x.h diff --git a/tinyusb/portable/nxp/lpc17xx/hal_lpc175x_6x.c b/src/portable/nxp/lpc17xx/hal_lpc175x_6x.c similarity index 100% rename from tinyusb/portable/nxp/lpc17xx/hal_lpc175x_6x.c rename to src/portable/nxp/lpc17xx/hal_lpc175x_6x.c diff --git a/tinyusb/portable/nxp/lpc17xx/hal_mcu.h b/src/portable/nxp/lpc17xx/hal_mcu.h similarity index 100% rename from tinyusb/portable/nxp/lpc17xx/hal_mcu.h rename to src/portable/nxp/lpc17xx/hal_mcu.h diff --git a/tinyusb/portable/nxp/lpc43xx_lpc18xx/dcd_lpc43xx.c b/src/portable/nxp/lpc43xx_lpc18xx/dcd_lpc43xx.c similarity index 100% rename from tinyusb/portable/nxp/lpc43xx_lpc18xx/dcd_lpc43xx.c rename to src/portable/nxp/lpc43xx_lpc18xx/dcd_lpc43xx.c diff --git a/tinyusb/portable/nxp/lpc43xx_lpc18xx/dcd_lpc43xx.h b/src/portable/nxp/lpc43xx_lpc18xx/dcd_lpc43xx.h similarity index 100% rename from tinyusb/portable/nxp/lpc43xx_lpc18xx/dcd_lpc43xx.h rename to src/portable/nxp/lpc43xx_lpc18xx/dcd_lpc43xx.h diff --git a/tinyusb/portable/nxp/lpc43xx_lpc18xx/hal_lpc43xx.c b/src/portable/nxp/lpc43xx_lpc18xx/hal_lpc43xx.c similarity index 100% rename from tinyusb/portable/nxp/lpc43xx_lpc18xx/hal_lpc43xx.c rename to src/portable/nxp/lpc43xx_lpc18xx/hal_lpc43xx.c diff --git a/tinyusb/portable/readme.md b/src/portable/readme.md similarity index 100% rename from tinyusb/portable/readme.md rename to src/portable/readme.md diff --git a/tinyusb/tusb.c b/src/tusb.c similarity index 100% rename from tinyusb/tusb.c rename to src/tusb.c diff --git a/tinyusb/tusb.h b/src/tusb.h similarity index 100% rename from tinyusb/tusb.h rename to src/tusb.h diff --git a/tinyusb/tusb_hal.h b/src/tusb_hal.h similarity index 100% rename from tinyusb/tusb_hal.h rename to src/tusb_hal.h diff --git a/tinyusb/tusb_option.h b/src/tusb_option.h similarity index 100% rename from tinyusb/tusb_option.h rename to src/tusb_option.h