Compare commits

...

98 Commits

Author SHA1 Message Date
King Kévin 88deb003a6 lib: update repo 2024-03-18 23:44:10 +01:00
King Kévin 529880abb4 make dragon kicad compatible 2024-03-18 23:43:19 +01:00
King Kévin fa16059e46 add old and new year logo 2024-03-08 07:24:21 +01:00
King Kévin 200f8b179d make: remove components from 2d rendering 2024-03-08 07:14:50 +01:00
King Kévin 07570f2911 make: update bom tool 2024-03-08 07:14:21 +01:00
King Kévin 0830600044 make: include DNF parts 2024-03-08 07:14:21 +01:00
King Kévin 3933cbccf8 make: export 3d model 2024-03-08 07:14:21 +01:00
King Kévin 89b65b72de make: add MPN in BoM 2024-03-08 07:13:47 +01:00
King Kévin 9e186892e5 make: ignore DRC 2024-03-08 07:12:31 +01:00
King Kévin ddf9deb7fc lib: update repo 2024-03-08 07:07:09 +01:00
King Kévin 0d73e5171d remove refdes2fab.py and this is a just a gloabal plugin for me 2023-12-18 20:47:12 +01:00
King Kévin 3e78d6beb5 lib: update logo properties 2023-10-01 10:12:16 +02:00
King Kévin c133c944de lib: add silk logo (less DRC errors) 2023-10-01 10:12:16 +02:00
King Kévin 16b19cc0d4 lib: update repo 2023-10-01 10:09:52 +02:00
King Kévin 7310a8173a lib: update repo 2023-09-19 05:12:28 +02:00
King Kévin e97b5bf01f lib: update part database 2023-09-19 05:11:40 +02:00
King Kévin 4aef742dc5 lib: generate Kicad7 library 2023-09-19 05:11:17 +02:00
King Kévin f84deb30b8 lib: remove JLCPCB correction info as it is in the database now 2023-09-19 05:10:41 +02:00
King Kévin 50444cd555 bom: ignore some fields 2023-08-11 11:06:14 +02:00
King Kévin cedd9571ee lib: update repo 2023-08-11 11:05:29 +02:00
King Kévin 7ba4b33939 make: use JLCPCB field in bom fabrication export 2023-08-11 11:04:37 +02:00
King Kévin 6fe5a0e425 make: prevent bom backup 2023-08-11 11:04:37 +02:00
King Kévin 6eb31f0646 ignore kicad lock files 2023-08-11 11:04:37 +02:00
King Kévin b893e7b57d make: ignore DRC for export 2023-08-11 11:04:37 +02:00
King Kévin ffbdffdf48 kicad: update files to v7 2023-03-30 22:32:40 +02:00
King Kévin 59b145d66e doc: better list dependencies 2023-03-30 22:30:26 +02:00
King Kévin c63fbf1689 make: use kikit again to generate fabrication 2023-03-30 22:30:26 +02:00
King Kévin d0d4203d20 make: add bom generator (not included in pip) 2023-03-30 22:30:26 +02:00
King Kévin cacdcb4ceb make: update bom generation 2023-03-30 22:30:26 +02:00
King Kévin 87cb8cb49b make: now use ibom plugin 2023-03-30 22:30:26 +02:00
King Kévin 99ab15f5eb make: switch back from kicad nightly 2023-03-30 22:30:26 +02:00
King Kévin 253f301eb0 lib: update database 2023-03-30 22:30:26 +02:00
King Kévin f1227afdcb make: fabrication does not need schematic 2023-03-30 22:30:26 +02:00
King Kévin 33f9b2cb87 make: add subsheet support 2023-03-30 22:29:07 +02:00
King Kévin 8fa5152b25 make: use kicad-cli to export fabrication (kikit does not support v7 yet) 2023-03-30 22:26:57 +02:00
King Kévin b066d8b473 make: update pcbdraw call 2023-03-30 22:26:57 +02:00
King Kévin d6ed8e8f86 make: use kicad-cli to generate bom 2023-03-30 22:26:57 +02:00
King Kévin ad93fccfcd make: use kicad-cli to render schematic 2023-03-30 22:26:54 +02:00
King Kévin 5849e04d54 lib: update partdb library 2023-03-30 22:25:49 +02:00
King Kévin 0b268fef02 lib: add logos 2023-03-30 22:25:49 +02:00
King Kévin cf6e95493f lib: switch kicad footprint to v7 2023-03-30 22:25:49 +02:00
King Kévin 58e504ea47 lib: disable qeda pin shapes 2023-03-30 22:23:41 +02:00
King Kévin 87819cd3e6 lib: add partdb library 2023-03-30 22:23:41 +02:00
King Kévin 5d9a596047 lib: enable audio connector library 2023-03-30 22:23:41 +02:00
King Kévin 8992163da1 lib: switch to version 7 2023-03-30 22:23:41 +02:00
King Kévin 4e8d15f64c sch: set kicad6 library 2022-12-24 03:09:46 +01:00
King Kévin 9b313a227c lib: update repo 2022-12-24 03:08:33 +01:00
King Kévin 283b18e1e9 lib: switch output to KiCad 6 2022-12-24 03:08:20 +01:00
King Kévin 9490a25e50 lib: add JLCPCB corrections for USB connectors 2022-12-24 03:05:45 +01:00
King Kévin f69a88300f brd: add material propertires 2022-09-13 12:19:22 +02:00
King Kévin f558b68515 add script to put refdes in fab layer 2022-09-13 12:14:21 +02:00
King Kévin b612622310 make: specify ibom generator 2022-08-10 17:43:30 +02:00
King Kévin c3ce63cbcd make: output iteractive bom 2022-08-10 14:45:31 +02:00
King Kévin 1d561046dd doc: add JLCPCB position correction 2022-08-10 12:39:29 +02:00
King Kévin 4636a6508b doc: replace rake with make 2022-08-10 12:37:46 +02:00
King Kévin e787a3412e add parts placement corrections 2022-07-13 11:10:43 +02:00
King Kévin dc767e3e37 make: output panel fab sooner 2022-07-13 11:10:21 +02:00
King Kévin ac4807e5ac make: remove git hash from revision 2022-07-04 10:17:51 +02:00
King Kévin df2e0df1d7 lib: update repo 2022-06-22 09:13:01 +02:00
King Kévin 54dfc1d55d rake: replace with more common make file 2022-06-22 09:12:23 +02:00
King Kévin 991fb55439 lib: rename generated lib to qeda 2022-06-17 18:06:46 +02:00
King Kévin d00955e75d brd: add net sizes 2022-06-17 17:55:41 +02:00
King Kévin 025d70af1b sch/brd: add template kicad schematic and board layout 2022-06-10 19:56:34 +02:00
King Kévin 8b216cf9ff lib: add most common components 2022-06-10 19:55:18 +02:00
King Kévin b1c719eebb git: remove cache file 2022-06-10 19:54:18 +02:00
King Kévin 4fdef09f30 sch/brd: remove lepton and ringdove files 2022-06-10 19:32:19 +02:00
King Kévin 4699c6b609 lib: update repo 2022-06-10 19:32:19 +02:00
King Kévin 88b9e8eb72 doc: update development doc for kicad toolchain 2022-06-10 19:32:19 +02:00
King Kévin ecb253e18b save JLC PnP corrections 2022-06-10 19:32:19 +02:00
King Kévin 2e982048c5 lib: document correction 2022-06-10 19:32:19 +02:00
King Kévin fc17a5abe3 rake: version panel configuration 2022-06-10 19:32:19 +02:00
King Kévin 0d85bfb90a rake: add panelization target 2022-06-10 19:32:19 +02:00
King Kévin 2882cb46c8 lib: remove date information 2022-06-10 19:32:19 +02:00
King Kévin 834f8c6f51 rake: use custom bom generator configuration 2022-06-10 19:32:19 +02:00
King Kévin 118fcf108c rake: change Rakefile for KiCAD processing 2022-06-10 19:32:13 +02:00
King Kévin 932d8f9a39 git: ignore KiCAD output and cache 2022-06-10 19:31:54 +02:00
King Kévin 097ceb5efc lib: add kikit footprints 2022-06-10 19:31:54 +02:00
King Kévin 26d6478b5c lib: add kicad library config 2022-06-10 19:31:54 +02:00
King Kévin acabbdfa31 lib: remove lepton and ringdove files 2022-06-10 19:31:49 +02:00
King Kévin baecb40eaf lib: allow generating kicad library 2022-06-10 19:31:41 +02:00
King Kévin 2f285de510 doc: add empty changelog file 2022-06-10 19:14:56 +02:00
King Kévin 479d64bc6a rake: export gerber in a directory 2022-05-18 17:42:13 +02:00
King Kévin 531206e2b3 rake: ignore stdout when exporting svg 2022-05-18 17:42:13 +02:00
King Kévin b9d4fabffd lib: update repo 2022-05-17 11:14:21 +02:00
King Kévin 5d0de1ee87 brd: fix footprint paths 2022-03-27 16:26:01 +02:00
King Kévin 865d7a899e README: development moved to other file 2022-03-23 10:49:07 +01:00
King Kévin 38466530e1 pnp: fix USB-C orientation 2022-03-23 10:34:20 +01:00
King Kévin 6e6ff34b51 pnp: adjust USB-C and CR1220 offset 2022-03-23 10:34:20 +01:00
King Kévin b3200d6e6e fab: add rotation for SOT23 2022-03-23 10:34:20 +01:00
King Kévin 93783e1682 qeda: set polarity mark to none 2022-03-23 10:34:20 +01:00
King Kévin 832e954f1e put development instructions in seperate file 2022-03-23 10:32:24 +01:00
King Kévin 9298df7acf update library 2022-03-07 14:42:51 +01:00
King Kévin 2e69c48388 update library url 2022-03-07 14:40:01 +01:00
King Kévin bb05a4bf7a lib: update to master 2022-02-02 16:42:09 +01:00
King Kévin fd34b256e2 Makefile: fix lepton CLI call 2022-02-02 16:42:09 +01:00
King Kévin e62773bfa1 Rakefile: minor, show error on empty BOM 2022-02-02 16:42:09 +01:00
King Kévin b38e271a1e Rakefile: minor, improve readability 2022-02-02 16:42:09 +01:00
King Kévin 8b0c84366a ignore other manual fabrication export (not from Rakefile) 2022-02-02 15:29:48 +01:00
51 changed files with 8203 additions and 1611 deletions

35
.gitignore vendored
View File

@ -1,18 +1,37 @@
# schematic lepton-EDA
*.sch
# board layout pcb-rnd
*.lht
*.lht.*
*.versioned.lht
# KiCAD
*.kicad_prl
*.kicad_pro-bak
*.xml
fp-info-cache
# temporary files
*~
*.versioned.sch
\#*\#
~*.lck
# outputs
*.svg
*.png
*.pdf
*.ps
*.zip
*.brd.*
*.tdx
\#*\#
*.notes.txt
*.bom.csv
*.cost.csv
*.cpl.csv
*.3d.step
*.versioned.*
fabrication
# scripts and utilities
*.json
*.rb
geda/footprints/
# panel files
panel.*
panel

2
.gitmodules vendored
View File

@ -1,3 +1,3 @@
[submodule "library"]
path = library
url = http://git.cuvoodoo.info/qeda_library
url = https://git.cuvoodoo.info/kingkevin/qeda_library

View File

@ -1,9 +1,16 @@
config:
output: coraleda
nodate: true
output: kicad7
symbol:
pinIcon: false
pattern:
densityLevel: 'N'
lineWidth:
silkscreen: 0.2
polarityMark: none
preferManufacturer: false
smoothPadCorners: false
library:
- resistor/r0603
- capacitor/c0603
- diode/led0805

0
CHANGELOG.md Normal file
View File

108
DEVELOPMENT.md Normal file
View File

@ -0,0 +1,108 @@
this will describe how to generate the output files form the sources.
requirements
============
to be able to generate the outputs, you need following software:
- [QEDA](http://qeda.org/): to generate footprints for the parts
- [KiCad v7](https://www.kicad.org/): EDA software used for schematic capture and board layout
- [PcbDraw](https://github.com/yaqwsx/PcbDraw): to generate board layout rendering
- [KiKit](https://github.com/yaqwsx/KiKit): to generate fabrications files (Gerber, Excellon)
- [KiBoM](https://github.com/SchrodingersGat/KiBoM): to generate Bill of Material (CSV)
- [Interactive HTML BOM](https://github.com/openscopeproject/InteractiveHtmlBom): to generate placement guide
install Interactive HTML BOM using KiCad's Plugin and Content Manager.
for the others:
~~~
npm install qeda
pip install pcbdraw kibom kikit
~~~
you can additionally install KiKit using KiCad's Plugin and Content Manager for an integrated GUI.
compiling
=========
to generate schematic, BoM, board render, and fabrication output, run `make`.
library
-------
almost all of the symbols and footprints used in the schematic and board layout are defined in the [QEDA](http://qeda.org/) format and generated for the CAD software.
the `library` folder contains the QEDA parts definitions.
to install QEDA using NPM from the official repository:
~~~
sudo npm install -g qeda
~~~
to install QEDA from the sources:
~~~
git clone https://github.com/qeda/qeda
cd qeda
npm install
sudo npm install --global
~~~
to generate the parts:
~~~
make lib
~~~
this will use the parts definition (.yaml files) in the `library` to generate the symbols (.sym files) and footprints used by KiCAD in the `kicad` folder.
schematic
---------
the `.kicad_sch` file is the schematic source file.
it has been drawn using the [KiCAD eeschema](https://www.kicad.org/) schematic editor.
it uses standard symbols, and the ones in the `kicad/` folder.
most symbols are generated by QEDA as described above.
to export as pdf:
~~~
make print
~~~
BOM
---
to export the bill of material (as CSV):
~~~
make bom
~~~
board
-----
the `.kicad_brd` file is the board layout source file.
it has been drawn using the [KiCAD pcbnew](https://docs.kicad.org/6.0/en/pcbnew/pcbnew.html) PCB editor.
it uses the footprints from the `kicad/` folder.
most symbols are generated by QEDA as described above.
to export gerber files for PCB manufacturer (and photo preview + overview document):
~~~
make fabrication
~~~
versioning
----------
the source schematic and board layout do not include version information.
when generating schematic or board fabrication output, a copy of the source files with date and version information is done as `.versioned.` files.
the date corresponds to the last changes (i.e. commit).
the version is formatted as `v.r`:
- `v` corresponds to the major version information defined in `version`
- `r` corresponds to the total number of changes done to the source files

28
KiBOM_CLI.py Normal file
View File

@ -0,0 +1,28 @@
#!/usr/bin/env python3
"""
@package
KiBOM - Bill of Materials generation for KiCad
Generate BOM in xml, csv, txt, tsv, html or xlsx formats.
- Components are automatically grouped into BoM rows (grouping is configurable)
- Component groups count number of components and list component designators
- Rows are automatically sorted by component reference(s)
- Supports board variants
Extended options are available in the "bom.ini" config file in the PCB directory
(this file is auto-generated with default options the first time the script is executed).
For usage help:
python KiBOM_CLI.py -h
"""
import sys
import os
here = os.path.abspath(os.path.dirname(__file__))
sys.path.insert(0, here)
from kibom.__main__ import main # noqa: E402
main()

100
Makefile Normal file
View File

@ -0,0 +1,100 @@
# project file name (use for schematic and board layout)
NAME ?= template
SUBSHEET ?=
# path to KiCad CLI
KICAD = kicad-cli
# path to qeda
QEDA := qeda
# path to KiBOM
KIBOM := kibom
# path to InteractiveHtmlBom
IBOMGEN := ~/.local/share/kicad/7.0/3rdparty/plugins/org_openscopeproject_InteractiveHtmlBom/generate_interactive_bom.py
# read project version
VERSION := $(shell cat version)
# current date for stamping output
DATE = $(shell date +%Y-%m-%d)
# revision based on number of changes on schematic or board layout
REVISION := $(shell git log --pretty=oneline "${NAME}.kicad_sch" "${NAME}.kicad_pcb" | wc -l)
# generate file with version information
VERSIONED_EXT = kicad_sch kicad_pcb kicad_pro json
define version_rule
%.versioned.$1: %.$1
cp $$< $$@
sed --in-place 's/\$$$$version\$$$$/${VERSION}/g' $$@
sed --in-place 's/\$$$$date\$$$$/${DATE}/g' $$@
sed --in-place 's/\$$$$revision\$$$$/${REVISION}/g' $$@
sed --in-place 's/\.kicad_sch/.versioned.kicad_sch/g' $$@
endef
$(foreach EXT,$(VERSIONED_EXT),$(eval $(call version_rule,$(EXT))))
VERSIONED_SHEET = $(foreach SHEET,$(NAME) $(SUBSHEET),$(SHEET).versioned.kicad_sch)
FABRICATION_DIR := fabrication
IBOM := ${FABRICATION_DIR}/ibom.html
all: $(VERSIONED_SHEET) ${NAME}.sch.pdf ${NAME}.brd-top.png ${NAME}.brd-bot.png ${NAME}.bom.csv ${NAME}.3d.step fab
fab: ${FABRICATION_DIR} ${IBOM}
# generate fabrication files (gerbers/drill/BoM/PnP)
${FABRICATION_DIR}: ${NAME}.versioned.kicad_sch ${NAME}.versioned.kicad_pcb
kikit fab jlcpcb --no-drc --assembly --field JLCPCB,LCSC --schematic $^ $@
# generate fabrication files (gerbers/drill/uncorrected PnP)
#${FABRICATION_DIR}: ${NAME}.versioned.kicad_pcb
# mkdir -p ${FABRICATION_DIR}
# $(KICAD) pcb export gerbers --output ${FABRICATION_DIR} $<
# $(KICAD) pcb export drill --output ${FABRICATION_DIR}/ $<
# $(KICAD) pcb export pos --output ${FABRICATION_DIR}/${NAME}.versioned.pos $<
# generate interactive BoM
${IBOM}: ${NAME}.versioned.kicad_pcb
python $(IBOMGEN) --no-browser --dest-dir `dirname $@` --name-format `basename $@ ".html"` --show-fields "Value" $< &>/dev/null
# generate symbols and footprints from parts
lib:
$(QEDA) generate qeda
# generate printable version (PDF) of schematic
%.sch.pdf: %.versioned.kicad_sch %.versioned.kicad_pro
$(KICAD) sch export pdf --output $@ $<
# generate render from layout (top side)
%.brd-top.png: %.versioned.kicad_pcb
pcbdraw plot --silent --no-components --dpi 600 --side front $< $@
# generate render from layout (bottom side)
%.brd-bot.png: %.versioned.kicad_pcb
pcbdraw plot --silent --no-components --dpi 600 --side back $< $@
# export Bill of Material (as CSV)
%.bom.xml: %.versioned.kicad_sch %.versioned.kicad_pro
$(KICAD) sch export python-bom --output $@ $<
# export 3D model
%.3d.step: %.versioned.kicad_pcb
$(KICAD) pcb export step --output $@ $<
# export Bill of Material (as CSV)
%.bom.csv: %.bom.xml
$(KIBOM) $< $@
# generate panel
PANEL_DIR := panel
panel: panel.kicad_pcb
panel.kicad_pcb: ${NAME}.versioned.kicad_pcb ${NAME}.versioned.kicad_pro ${NAME}.versioned.kicad_sch ${NAME}.versioned.json
kikit panelize -p ${NAME}.versioned.json ${NAME}.versioned.kicad_pcb $@
sed --in-place 's/\"missing_courtyard\": \"warning\"/\"missing_courtyard\": \"ignore\"/g' $(patsubst %.kicad_pcb,%.kicad_pro,$@) # the mouse bites don't have a courtyard
kikit fab jlcpcb --assembly --missingError --field JLCPCB,LCSC --schematic ${NAME}.versioned.kicad_sch $@ ${PANEL_DIR}
pcbdraw --silent $@ --dpi 600 panel.brd-top.png
pcbdraw --silent $@ --dpi 600 --back panel.brd-bot.png
clean:
rm -f $(foreach EXT,$(VERSIONED_EXT),${NAME}.versioned.$(EXT))
rm -f ${NAME}.sch.pdf ${NAME}.brd-top.png ${NAME}.brd-bot.png ${NAME}.versioned.xml ${NAME}.bom.csv
rm -f ${NAME}.versioned.kicad_prl ${NAME}.versioned.kicad_pro-bak ${NAME}.versioned.xml ${NAME}.versioned.csv
rm -f ${IBOM}
rm -rf ${FABRICATION_DIR}
rm -f panel.kicad_pcb panel.kicad_pro
rm -rf ${PANEL_DIR}

View File

@ -5,84 +5,3 @@ purpose
usage
=====
requirements
============
to be able to generate the outputs you need following software:
- rake: the central script taking care of generating the output files (Makefile is too cumbersome to parse files)
- [QEDA](http://qeda.org/): to generate footprints for the parts
- [Lepton EDA](https://github.com/lepton-eda/lepton-eda): for the schematic capture
- [pcb-rnd](http://repo.hu/projects/pcb-rnd/): for the board layout
the output generation is automatized.
compiling
=========
library
-------
almost all of the symbols and footprints used in the schematic and board layout are defined in the [QEDA](http://qeda.org/) format and generated for the CAD software.
the `library` folder contains the QEDA parts definitions.
to install QEDA using NPM from the official repository:
~~~
sudo npm install -g qeda
~~~
to install QEDA from the sources:
~~~
git clone https://github.com/qeda/qeda
cd qeda
npm install
sudo npm install --global
~~~
to generate the parts:
~~~
rake library
~~~
this will use the parts definition (.yaml files) in the `library` to generate [gEDA gschem](http://wiki.geda-project.org/geda:gaf)/[Lepton EDA](https://github.com/lepton-eda/lepton-eda) symbols (.sym files) in the `geda/symbols` folder, and [coralEDA pcb-rnd](http://repo.hu/projects/pcb-rnd/) footprints (.lht files) in the `coraleda/subc` folder.
only the QEDA parts in subfolders within `library` come from the [QEDA library](https://doc.qeda.org/library/), but the files are included in this project for simplicity and archiving purposes.
all other parts are custom and written for this project.
schematic
---------
the `.sch` file is the schematic source file.
it has been drawn using [Lepton EDA](https://github.com/lepton-eda/lepton-eda).
it uses standard symbols, and the ones in the `geda/symbols/` folder.
most symbols are generated by QEDA as described above.
to export as pdf:
~~~
rake print
~~~
BOM
---
to export the bill of material (as CSV):
~~~
rake bom
~~~
board
-----
the `.lht` file is the board layout source file.
it has been drawn using [coralEDA pcb-rnd](http://repo.hu/projects/pcb-rnd/).
it uses the symbols from the `coraleda/subc/` folder.
most symbols are generated by QEDA as described above.
`oshw_logo.lht` is just the Open Source Hardware Logo.
it been generated from https://oshwlogo.cuvoodoo.info/.
to export gerber files for PCB manufacturer (and photo preview + overview document):
~~~
rake fabrication
~~~

176
Rakefile
View File

@ -1,176 +0,0 @@
# encoding: utf-8
# ruby: 2.1.0
=begin
Rakefile to manage hardware projects
uses Lepton EDA for schematic and pcb-rnd for board layouts.
Rakefile instead of Makefile for better text file parsing capabilities.
=end
require 'rake/clean'
require 'csv' # to export BOM and costs
# =================
# project variables
# =================
# common name used for file names
name = "template"
# project version, read from "version" file
raise "define project version in 'version' file" unless File.exist? "version"
version = IO.read("version").split("\n")[0]
# current date for stamping output
date = Time.now.strftime("%Y-%m-%d")
# revision based on number of changes on schematic or board layout and current git commit
changes = `git log --pretty=oneline "#{name}.sch" "#{name}.lht" | wc -l`.chomp.to_i
commit = `git rev-parse --short HEAD`.chomp
revision = "#{changes} (#{commit})"
# path to qeda"
qeda = "qeda"
# ==========
# main tasks
# ==========
desc "main building task"
task :default => [:print, :fabrication, :bom, :pnp]
desc "print schematic and layout (as pdf)"
prints = [ "#{name}.sch.pdf", "#{name}.brd.pdf", "#{name}.brd-top.svg", "#{name}.brd-bottom.svg" ]
task :print => prints
CLEAN.include([ "#{name}.versioned.sch", "#{name}.versioned.lht" ])
CLOBBER.include(prints)
desc "generate fabrication gerbers (as archive)"
gerbers = [ "#{name}.brd.asb", "#{name}.brd.ast", "#{name}.brd.gbl", "#{name}.brd.gbo", "#{name}.brd.gbp", "#{name}.brd.gbs", "#{name}.brd.gko", "#{name}.brd.gtl", "#{name}.brd.gto", "#{name}.brd.gtp", "#{name}.brd.gts", "#{name}.brd.xln", "#{name}.brd.g2l", "#{name}.brd.g3l" ]
fab = [ "#{name}.brd.zip" ]
task :fabrication => fab
CLEAN.include(gerbers)
CLOBBER.include(fab)
desc "generate symbols and footprints from parts"
task :library do
sh "#{qeda} config output geda"
sh "#{qeda} generate ."
sh "#{qeda} config output coraleda"
sh "#{qeda} generate ."
end
desc "export BOMs from schematic"
boms = [ "#{name}.bom.csv" ]
task :bom => boms
CLOBBER.include(boms)
desc "export PnP placement"
pnps = [ "#{name}.cpl.csv" ]
task :pnp => pnps
CLOBBER.include(pnps)
# ===============
# file generation
# ===============
desc "generate schematic with version information all symbols embedded"
rule ".versioned.sch" => ".sch" do |t|
sh "cp #{t.source} #{t.name}"
sh "lepton-embed --embed #{t.name} 2> /dev/null"
sh "sed --in-place 's/\\$version\\$/#{version}/' #{t.name}"
sh "sed --in-place 's/\\$date\\$/#{date}/' #{t.name}"
sh "sed --in-place 's/\\$revision\\$/#{revision}/' #{t.name}"
end
desc "generate board layout with version information"
rule ".versioned.lht" => ".lht" do |t|
sh "cp #{t.source} #{t.name}"
sh "sed --in-place 's/\\$version\\$/#{version}/' #{t.name}"
sh "sed --in-place 's/\\$date\\$/#{date}/' #{t.name}"
sh "sed --in-place 's/\\$revision\\$/#{revision}/' #{t.name}"
end
desc "generate printable version (PDF) of schematic"
rule ".sch.pdf" => ".versioned.sch" do |t|
sh "lepton-cli export --color --paper iso_a4 --layout landscape --output #{t.name} #{t.source} 2> /dev/null"
end
desc "generate printable version (PostScript) of board layout"
rule ".brd.ps" => ".versioned.lht" do |t|
sh "pcb-rnd -x ps --ps-color --media A4 --psfile #{t.name} #{t.source} 2> /dev/null"
end
desc "generate printable version (PDF) of board layout"
rule ".brd.pdf" => ".brd.ps" do |t|
sh "ps2pdf -sPAPERSIZE=a4 -dEPSCrop #{t.source} #{t.name}"
end
desc "generate photo realistic picture from layout (top side)"
rule ".brd-top.svg" => ".versioned.lht" do |t|
sh "pcb-rnd -x svg --photo-mode --outfile #{t.name} #{t.source} 2> /dev/null"
end
desc "generate photo realistic picture from layout (bottom side)"
rule ".brd-bottom.svg" => ".versioned.lht" do |t|
sh "pcb-rnd -x svg --photo-mode --flip --outfile #{t.name} #{t.source} 2> /dev/null"
end
desc "archive gerbers"
rule ".brd.zip" => ".versioned.lht" do |t|
base = File.basename(t.source, ".versioned.lht")
puts base
sh "pcb-rnd -x cam gerber:JLC_PCB --outfile #{base}.brd #{t.source} 2> /dev/null"
sh "zip --quiet #{t.name} #{base}.brd.xln #{base}.brd.a* #{base}.brd.g*"
end
desc "generate BOM file from schematic"
rule ".bom.csv" => ".sch" do |t|
attributes = ["device", "value", "description", "footprint", "manufacturer", "mpn", "datasheet", "lcsc", "digikey"]
bom_data = bom2(t.prerequisites[0],attributes)
CSV.open(t.name, "wb") do |csv|
all_attributes = ["refdes","qty"]+attributes
csv << all_attributes
bom_data.each do |line|
csv << all_attributes.collect{|attribute| line[attribute]}
end
end
end
desc "generate pick-and-place file from board"
rule ".cpl.csv" => [".versioned.lht", "mass_prop.sh", "pnp_fab.tab"] do |t|
sh "./mass_prop.sh #{t.prerequisites[0]} pnp_fab.tab" # add fab placement offsets
sh "pcb-rnd -x XY --xyfile #{t.name} --xy-unit mm --format 'JLCPCB' --vendor jlcpcb #{t.prerequisites[0]}" # export XY file in JLCPCB format
end
# ================
# helper functions
# ================
# generate gnetlist bom2 and parse them
# arguments: schematic=schematic to use, attributes=attributes to use for generating bom2
# returns an array of hash. key is the attribute name, value is the attribute value
def bom2(schematic, attributes)
to_return = []
# force attributes to be an array
attributes = case attributes
when String
[attributes]
when Array
attributes
else
[attributes.to_s]
end
# generate bom2
list = `lepton-netlist --backend bom2 --backend-option attribs=#{attributes*','} --quiet --output - #{schematic} 2> /dev/null`
list = list.each_line {|l| '"' + l + '"' + '\n' }
list.gsub!(/^(.+)/, '"\1')
list.gsub!(/(.+)$/, '\1"')
list.gsub!(/(?!http):(?!\/\/)/, '\1":"\2') # protect the values between ':' (such as URLs)
# parse bom2
csv = CSV.parse(list, col_sep: ":", quote_char: '"')
csv[1..-1].each do |row|
line = {}
row.each_index do |col|
line[csv[0][col]] = row[col] unless row[col] == "unknown"
end
to_return << line
end
return to_return
end

108
bom.ini Normal file
View File

@ -0,0 +1,108 @@
[BOM_OPTIONS]
; General BoM options here
; If 'ignore_dnf' option is set to 1, rows that are not to be fitted on the PCB will not be written to the BoM file
ignore_dnf = 0
; If 'html_generate_dnf' option is set to 1, also generate a list of components not fitted on the PCB (HTML only)
html_generate_dnf = 1
; If 'use_alt' option is set to 1, grouped references will be printed in the alternate compressed style eg: R1-R7,R18
use_alt = 0
; If 'alt_wrap' option is set to and integer N, the references field will wrap after N entries are printed
alt_wrap = 0
; If 'number_rows' option is set to 1, each row in the BoM will be prepended with an incrementing row number
number_rows = 1
; If 'group_connectors' option is set to 1, connectors with the same footprints will be grouped together, independent of the name of the connector
group_connectors = 1
; If 'test_regex' option is set to 1, each component group will be tested against a number of regular-expressions (specified, per column, below). If any matches are found, the row is ignored in the output file
test_regex = 1
; If 'merge_blank_fields' option is set to 1, component groups with blank fields will be merged into the most compatible group, where possible
merge_blank_fields = 1
; Specify output file name format, %O is the defined output name, %v is the version, %V is the variant name which will be ammended according to 'variant_file_name_format'.
output_file_name = %O%V
; Specify the variant file name format, this is a unique field as the variant is not always used/specified. When it is unused you will want to strip all of this.
variant_file_name_format = _(%V)
; Field name used to determine if a particular part is to be fitted
fit_field = Config
; Make a backup of the bom before generating the new one, using the following template
;make_backup = %O.tmp
; Default number of boards to produce if none given on CLI with -n
number_boards = 1
; Default PCB variant if none given on CLI with -r
board_variant = ['default']
; Whether to hide headers from output file
hide_headers = False
; Whether to hide PCB info from output file
hide_pcb_info = False
[IGNORE_COLUMNS]
; Any column heading that appears here will be excluded from the Generated BoM
; Titles are case-insensitive
Part
Part Lib
Footprint
Footprint Lib
Build Quantity
sheetpath
qeda_part
qeda_variant
name
JLCPCB_CORRECTION
Rating
[COLUMN_ORDER]
; Columns will appear in the order they are listed here
; Titles are case-insensitive
References
Value
Quantity Per PCB
Description
Part
Part Lib
Footprint
Footprint Lib
Build Quantity
Manufacturer
MPN
DigiKey
LCSC
JLCPCB
Datasheet
[GROUP_FIELDS]
; List of fields used for sorting individual components into groups
; Components which match (comparing *all* fields) will be grouped together
; Field names are case-insensitive
Value
Footprint
Footprint Lib
[COMPONENT_ALIASES]
; A series of values which are considered to be equivalent for the part name
; Each line represents a list of equivalent component name values separated by white space
; e.g. 'c c_small cap' will ensure the equivalent capacitor symbols can be grouped together
; Aliases are case-insensitive
c c_small cap capacitor
r r_small res resistor
sw switch
l l_small inductor
zener zenersmall
d diode d_small
[REGEX_INCLUDE]
; A series of regular expressions used to include parts in the BoM
; If there are any regex defined here, only components that match against ANY of them will be included in the BOM
; Column names are case-insensitive
; Format is: "[ColumName] [Regex]" (white-space separated)
[REGEX_EXCLUDE]
; A series of regular expressions used to exclude parts from the BoM
; If a component matches ANY of these, it will be excluded from the BoM
; Column names are case-insensitive
; Format is: "[ColumName] [Regex]" (white-space separated)
References ^TP[0-9]*
References ^FID
Part mount.*hole
Part solder.*bridge
Part test.*point
Footprint test.*point
Footprint mount.*hole
Footprint fiducial

View File

@ -1,421 +0,0 @@
# footprint generated from CuVoodoo Land Pattern
# author: King Kévin
# version: 1
# date: 2019-05-13
li:pcb-rnd-subcircuit-v6 {
ha:subc.1 {
uid = any_24_ASCII_characters_
ha:attributes {
footprint = open source hardware logo
}
ha:data {
li:padstack_prototypes {
}
li:objects {
}
li:layers {
ha:top-silkscreen {
lid = 1
ha:type {
top = 1
silk = 1
}
li:objects {
ha:line.2{
clearance = 0
x1 = 0.15mm
y1 = 1.8mm
x2 = 0.75mm
y2 = 1.8mm
thickness = 0.3mm
}
ha:line.3{
clearance = 0
x1 = 1.8mm
y1 = 5.8500000000000005mm
x2 = 1.8mm
y2 = 6.45mm
thickness = 0.3mm
}
ha:line.4{
clearance = 0
x1 = 5.8500000000000005mm
y1 = 1.8mm
x2 = 6.45mm
y2 = 1.8mm
thickness = 0.3mm
}
ha:line.5{
clearance = 0
x1 = 1.8mm
y1 = 0.15mm
x2 = 1.8mm
y2 = 0.75mm
thickness = 0.3mm
}
ha:line.6{
clearance = 0
x1 = 0.15mm
y1 = 2.4mm
x2 = 0.75mm
y2 = 2.4mm
thickness = 0.3mm
}
ha:line.7{
clearance = 0
x1 = 2.4mm
y1 = 5.8500000000000005mm
x2 = 2.4mm
y2 = 6.45mm
thickness = 0.3mm
}
ha:line.8{
clearance = 0
x1 = 5.8500000000000005mm
y1 = 2.4mm
x2 = 6.45mm
y2 = 2.4mm
thickness = 0.3mm
}
ha:line.9{
clearance = 0
x1 = 2.4mm
y1 = 0.15mm
x2 = 2.4mm
y2 = 0.75mm
thickness = 0.3mm
}
ha:line.10{
clearance = 0
x1 = 0.15mm
y1 = 3mm
x2 = 0.75mm
y2 = 3mm
thickness = 0.3mm
}
ha:line.11{
clearance = 0
x1 = 3mm
y1 = 5.8500000000000005mm
x2 = 3mm
y2 = 6.45mm
thickness = 0.3mm
}
ha:line.12{
clearance = 0
x1 = 5.8500000000000005mm
y1 = 3mm
x2 = 6.45mm
y2 = 3mm
thickness = 0.3mm
}
ha:line.13{
clearance = 0
x1 = 3mm
y1 = 0.15mm
x2 = 3mm
y2 = 0.75mm
thickness = 0.3mm
}
ha:line.14{
clearance = 0
x1 = 0.15mm
y1 = 3.5999999999999996mm
x2 = 0.75mm
y2 = 3.5999999999999996mm
thickness = 0.3mm
}
ha:line.15{
clearance = 0
x1 = 3.5999999999999996mm
y1 = 5.8500000000000005mm
x2 = 3.5999999999999996mm
y2 = 6.45mm
thickness = 0.3mm
}
ha:line.16{
clearance = 0
x1 = 5.8500000000000005mm
y1 = 3.5999999999999996mm
x2 = 6.45mm
y2 = 3.5999999999999996mm
thickness = 0.3mm
}
ha:line.17{
clearance = 0
x1 = 3.5999999999999996mm
y1 = 0.15mm
x2 = 3.5999999999999996mm
y2 = 0.75mm
thickness = 0.3mm
}
ha:line.18{
clearance = 0
x1 = 0.15mm
y1 = 4.2mm
x2 = 0.75mm
y2 = 4.2mm
thickness = 0.3mm
}
ha:line.19{
clearance = 0
x1 = 4.2mm
y1 = 5.8500000000000005mm
x2 = 4.2mm
y2 = 6.45mm
thickness = 0.3mm
}
ha:line.20{
clearance = 0
x1 = 5.8500000000000005mm
y1 = 4.2mm
x2 = 6.45mm
y2 = 4.2mm
thickness = 0.3mm
}
ha:line.21{
clearance = 0
x1 = 4.2mm
y1 = 0.15mm
x2 = 4.2mm
y2 = 0.75mm
thickness = 0.3mm
}
ha:line.22{
clearance = 0
x1 = 0.15mm
y1 = 4.8mm
x2 = 0.75mm
y2 = 4.8mm
thickness = 0.3mm
}
ha:line.23{
clearance = 0
x1 = 4.8mm
y1 = 5.8500000000000005mm
x2 = 4.8mm
y2 = 6.45mm
thickness = 0.3mm
}
ha:line.24{
clearance = 0
x1 = 5.8500000000000005mm
y1 = 4.8mm
x2 = 6.45mm
y2 = 4.8mm
thickness = 0.3mm
}
ha:line.25{
clearance = 0
x1 = 4.8mm
y1 = 0.15mm
x2 = 4.8mm
y2 = 0.75mm
thickness = 0.3mm
}
ha:line.26{
clearance = 0
x1 = 1.8mm
y1 = 1.35mm
x2 = 4.8mm
y2 = 1.35mm
thickness = 0.3mm
}
ha:line.27{
clearance = 0
x1 = 5.25mm
y1 = 1.8mm
x2 = 5.25mm
y2 = 4.8mm
thickness = 0.3mm
}
ha:line.28{
clearance = 0
x1 = 4.8mm
y1 = 5.25mm
x2 = 1.8mm
y2 = 5.25mm
thickness = 0.3mm
}
ha:line.29{
clearance = 0
x1 = 1.35mm
y1 = 4.8mm
x2 = 1.35mm
y2 = 1.8mm
thickness = 0.3mm
}
ha:arc.30{
clearance = 0
x = 1.8mm
y = 1.8mm
width = 0.45mm
height = 0.45mm
thickness = 0.3mm
astart = 0
adelta = -90
}
ha:arc.31{
clearance = 0
x = 4.8mm
y = 1.8mm
width = 0.45mm
height = 0.45mm
thickness = 0.3mm
astart = -90
adelta = -90
}
ha:arc.32{
clearance = 0
x = 4.8mm
y = 4.8mm
width = 0.45mm
height = 0.45mm
thickness = 0.3mm
astart = 180
adelta = -90
}
ha:arc.33{
clearance = 0
x = 1.8mm
y = 4.8mm
width = 0.45mm
height = 0.45mm
thickness = 0.3mm
astart = 90
adelta = -90
}
ha:line.34{
clearance = 0
x1 = 1.8mm
y1 = 1.8mm
x2 = 3mm
y2 = 1.8mm
thickness = 0.3mm
}
ha:line.35{
clearance = 0
x1 = 3mm
y1 = 1.8mm
x2 = 3mm
y2 = 3mm
thickness = 0.3mm
}
ha:line.36{
clearance = 0
x1 = 3mm
y1 = 3mm
x2 = 1.8mm
y2 = 3mm
thickness = 0.3mm
}
ha:line.37{
clearance = 0
x1 = 1.8mm
y1 = 3mm
x2 = 1.8mm
y2 = 1.8mm
thickness = 0.3mm
}
ha:line.38{
clearance = 0
x1 = 4.8mm
y1 = 1.8mm
x2 = 3.5999999999999996mm
y2 = 1.8mm
thickness = 0.3mm
}
ha:line.39{
clearance = 0
x1 = 3.5999999999999996mm
y1 = 1.8mm
x2 = 3.5999999999999996mm
y2 = 2.4mm
thickness = 0.3mm
}
ha:line.40{
clearance = 0
x1 = 3.5999999999999996mm
y1 = 2.4mm
x2 = 4.8mm
y2 = 2.4mm
thickness = 0.3mm
}
ha:line.41{
clearance = 0
x1 = 4.8mm
y1 = 2.4mm
x2 = 4.8mm
y2 = 3mm
thickness = 0.3mm
}
ha:line.42{
clearance = 0
x1 = 4.8mm
y1 = 3mm
x2 = 3.5999999999999996mm
y2 = 3mm
thickness = 0.3mm
}
ha:line.43{
clearance = 0
x1 = 1.8mm
y1 = 3.5999999999999996mm
x2 = 1.8mm
y2 = 4.8mm
thickness = 0.3mm
}
ha:line.44{
clearance = 0
x1 = 1.8mm
y1 = 4.199999999999999mm
x2 = 3mm
y2 = 4.199999999999999mm
thickness = 0.3mm
}
ha:line.45{
clearance = 0
x1 = 3mm
y1 = 3.5999999999999996mm
x2 = 3mm
y2 = 4.8mm
thickness = 0.3mm
}
ha:line.46{
clearance = 0
x1 = 3.5999999999999996mm
y1 = 3.5999999999999996mm
x2 = 3.5999999999999996mm
y2 = 4.8mm
thickness = 0.3mm
}
ha:line.47{
clearance = 0
x1 = 3.5999999999999996mm
y1 = 4.8mm
x2 = 4.199999999999999mm
y2 = 4.2mm
thickness = 0.3mm
}
ha:line.48{
clearance = 0
x1 = 4.199999999999999mm
y1 = 4.2mm
x2 = 4.799999999999999mm
y2 = 4.8mm
thickness = 0.3mm
}
ha:line.49{
clearance = 0
x1 = 4.799999999999999mm
y1 = 4.8mm
x2 = 4.799999999999999mm
y2 = 3.5999999999999996mm
thickness = 0.3mm
}
}
}
}
}
}
}

View File

@ -1,39 +0,0 @@
#!/bin/sh
#@@example qr(hello world, 1mm)
#@@purpose Generate QR code on silk
#@@desc Generate the specified QR code as silk lines
#@@params text,pixel_size,level
#@@thumbsize 2
#@@param:text ASCII text to encode
#@@param:pixel_size width and height of each pixel
#@@dim:pixel_size
#@@param:level error correction level
#@@optional:level
#@@enum:level:L low
#@@enum:level:H high
#@@default:L
libdir=""
for n in $PCB_RND_PCBLIB/parametric `dirname $0` /usr/local/share/pcb-rnd/pcblib/parametric /usr/share/pcb-rnd/pcblib/parametric
do
if test -f "$n/common.awk"
then
libdir="$n"
break
fi
done
if test -z "$libdir"
then
echo "pcblib/parametric/common.awk not found." >&2
exit 1
fi
awk -f $libdir/common.awk -f `dirname $0`/qr.awk -v "args=$*" -v gen=`basename $0` -v "genfull=$0"

View File

@ -1,62 +0,0 @@
function flush_line(x1, x2, y, w ,n,yy)
{
x1/=2
x2/=2
for(n = 0; n < 3; n++) {
yy = y*w + w/6 + w/3 * n
element_line(x1*w + w/6, yy, x2*w - w/6, yy, w/3)
}
element_line(x1*w + w/6, y*w + w/6, x1*w + w/6, (y+1)*w - w/6, w/3)
element_line(x2*w - w/6, y*w + w/6, x2*w - w/6, (y+1)*w - w/6, w/3)
}
BEGIN {
help_auto()
set_arg(P, "?pixel_size", "1mm")
proc_args(P, "text,pixel_size,level", "text")
pixel_size = parse_dim(P["pixel_size"])
element_begin("", "QR1", "qr(" P["text"] "," P["pixel_size"] "," P["level"] ")" ,0,0, 0,-mil(50))
print "# text=" P["text"]
cmd = "echo '" P["text"] "' | qrencode -t ASCII"
if (P["level"] != "") {
if (tolower(P["level"]) == "h")
cmd = cmd " -l H"
else if (tolower(P["level"]) == "l")
cmd = cmd " -l L"
}
y = 0;
while((cmd | getline line) > 0) {
line = line "-"
# print line
len = length(line)
start = ""
for(x = 1; x < len; x++) {
if (substr(line, x, 1) == "#") {
if (start == "")
start = x;
}
else {
if (start != "") {
flush_line(start, x, y, pixel_size)
start = ""
}
}
}
if (start != "")
flush_line(start, x, y, pixel_size)
y++;
}
# dimension(+spacing/aspect, -dia, +spacing/aspect, dia, "@" spacing*1.2 ";0", "dia")
element_end()
}

6
fp-lib-table Normal file
View File

@ -0,0 +1,6 @@
(fp_lib_table
(version 7)
(lib (name "qeda")(type "KiCad")(uri "${KIPRJMOD}/kicad/qeda.pretty")(options "")(descr ""))
(lib (name "kikit")(type "KiCad")(uri "${KIPRJMOD}/kicad/kikit.pretty")(options "")(descr ""))
(lib (name "logo")(type "KiCad")(uri "${KIPRJMOD}/kicad/logo.pretty")(options "")(descr ""))
)

2
gafrc
View File

@ -1,2 +0,0 @@
(source-library ".")
(component-library "./geda/symbols/")

View File

@ -1,18 +0,0 @@
v 20210407 2
P 200 0 200 200 1 0 0
{
T 250 50 5 6 0 1 0 0 1
pinnumber=1
T 250 50 5 6 0 0 0 0 1
pinseq=1
T 250 50 5 6 0 1 0 0 1
pinlabel=1
T 250 50 5 6 0 1 0 0 1
pintype=pwr
}
T 200 250 9 8 1 0 0 3 1
1V8
T 300 0 8 8 0 0 0 0 1
net=1V8:1
L 150 100 200 200 3 10 1 0 -1 -1
L 200 200 250 100 3 10 1 0 -1 -1

View File

@ -1,18 +0,0 @@
v 20210407 2
P 200 0 200 200 1 0 0
{
T 250 50 5 6 0 1 0 0 1
pinnumber=1
T 250 50 5 6 0 0 0 0 1
pinseq=1
T 250 50 5 6 0 1 0 0 1
pinlabel=1
T 250 50 5 6 0 1 0 0 1
pintype=pwr
}
T 200 250 9 8 1 0 0 3 1
3V3
T 300 0 8 8 0 0 0 0 1
net=3V3:1
L 150 100 200 200 3 10 1 0 -1 -1
L 200 200 250 100 3 10 1 0 -1 -1

View File

@ -1,18 +0,0 @@
v 20210407 2
P 200 0 200 200 1 0 0
{
T 250 50 5 6 0 1 0 0 1
pinnumber=1
T 250 50 5 6 0 0 0 0 1
pinseq=1
T 250 50 5 6 0 1 0 0 1
pinlabel=1
T 250 50 5 6 0 1 0 0 1
pintype=pwr
}
T 200 250 9 8 1 0 0 3 1
5V
T 300 0 8 8 0 0 0 0 1
net=5V:1
L 150 100 200 200 3 10 1 0 -1 -1
L 200 200 250 100 3 10 1 0 -1 -1

View File

@ -1,17 +0,0 @@
v 20210407 2
P 100 100 100 200 1 0 1
{
T 158 161 5 4 0 1 0 0 1
pinnumber=1
T 158 161 5 4 0 0 0 0 1
pinseq=1
T 158 161 5 4 0 1 0 0 1
pinlabel=1
T 158 161 5 4 0 1 0 0 1
pintype=pwr
}
L 0 100 200 100 3 0 0 0 -1 -1
T 300 50 8 10 0 0 0 0 1
net=GND:1
L 0 100 100 0 3 0 1 0 -1 -1
L 200 100 100 0 3 0 1 0 -1 -1

View File

@ -1,18 +0,0 @@
v 20210626 2
P 200 0 200 200 1 0 0
{
T 250 50 5 6 0 1 0 0 1
pinnumber=1
T 250 50 5 6 0 0 0 0 1
pinseq=1
T 250 50 5 6 0 1 0 0 1
pinlabel=1
T 250 50 5 6 0 1 0 0 1
pintype=pwr
}
T 200 250 9 8 1 0 0 3 1
VCC
T 300 0 8 8 0 0 0 0 1
net=VCC:1
L 150 100 200 200 3 10 1 0 -1 -1
L 200 200 250 100 3 10 1 0 -1 -1

View File

@ -1,18 +0,0 @@
v 20210407 2
P 200 0 200 200 1 0 0
{
T 250 50 5 6 0 1 0 0 1
pinnumber=1
T 250 50 5 6 0 0 0 0 1
pinseq=1
T 250 50 5 6 0 1 0 0 1
pinlabel=1
T 250 50 5 6 0 1 0 0 1
pintype=pwr
}
T 200 250 9 8 1 0 0 3 1
VTRG
T 300 0 8 8 0 0 0 0 1
net=VTRG:1
L 150 100 200 200 3 10 1 0 -1 -1
L 200 200 250 100 3 10 1 0 -1 -1

View File

@ -1,40 +0,0 @@
v 20210626 2
L 3000 900 3000 0 15 0 0 0 -1 -1
B 0 0 6000 1500 15 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
L 0 900 6000 900 15 0 0 0 -1 -1
T 1000 700 9 10 1 1 0 0 1
date=$date$
T 4300 700 9 10 1 1 0 0 1
org=$organisation$
T 4300 400 9 10 1 1 0 0 1
authors=$authors$
T 3000 1200 9 14 1 1 0 4 1
title=TITLE
T 3100 400 15 8 1 0 0 0 1
AUTHORS:
T 3100 100 15 8 1 0 0 0 1
LICENCE:
T 100 100 15 8 1 0 0 0 1
REVISION:
T 100 1100 15 8 1 0 0 0 1
TITLE:
T 100 400 15 8 1 0 0 0 1
VERSION:
T 0 1600 8 10 0 0 0 0 1
graphical=1
T 3100 700 15 8 1 0 0 0 1
ORGANISATION:
T 100 700 15 8 1 0 0 0 1
DATE:
T 1000 400 9 10 1 1 0 0 1
version=$version$
T 1000 100 9 10 1 1 0 0 1
revision=$revision$
T 4300 100 9 10 1 1 0 0 1
licence=$licence$
T 0 1800 8 10 0 0 0 0 1
device=none
T 0 2000 8 10 0 0 0 0 1
footprint=none
T 0 2200 8 10 0 0 0 0 1
refdes=none

View File

@ -0,0 +1,14 @@
(module Board (layer F.Cu) (tedit 605A21C1)
(descr "Mark board for extraction")
(attr virtual)
(fp_text reference REF** (at -4.5 -5) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)) (justify left))
)
(fp_text value Board (at -7.25 -5) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 0 0) (end -1.25 -4) (layer Dwgs.User) (width 0.2))
(fp_line (start -1.25 -4) (end -9.25 -4) (layer Dwgs.User) (width 0.2))
(fp_line (start 0 0) (end 0.5 -1) (layer Dwgs.User) (width 0.2))
(fp_line (start 0 0) (end -1 -0.5) (layer Dwgs.User) (width 0.2))
)

View File

@ -0,0 +1,13 @@
(module Fiducial:Fiducial (layer F.Cu) (tedit 5EA93A7C)
(descr "Circular Fiducial")
(tags fiducial)
(attr smd)
(fp_text reference REF** (at 0 -1.5) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Fiducial (at 0 1.5) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(pad "" smd circle (at 0 0) (size 0.5 0.5) (layers F.Cu F.Mask)
(solder_mask_margin 0.25) (clearance 0.25))
)

View File

@ -0,0 +1,9 @@
(module NPTH (layer F.Cu) (tedit 618E7E16)
(fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(pad "" np_thru_hole circle (at 0 0) (size 1 1) (drill 1) (layers *.Cu *.Mask))
)

View File

@ -0,0 +1,17 @@
(module Tab (layer F.Cu) (tedit 60708B1A)
(descr "A symbol representing annotation for tab placement")
(attr virtual)
(fp_text reference REF** (at 0 -2) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Tab (at -2.75 -1) (layer Dwgs.User)
(effects (font (size 1.2 1.2) (thickness 0.2)))
)
(fp_line (start 0.25 0) (end -0.75 1) (layer Dwgs.User) (width 0.3))
(fp_line (start 0.25 0) (end -0.75 -1) (layer Dwgs.User) (width 0.3))
(fp_line (start 0.25 0) (end -2.75 0) (layer Dwgs.User) (width 0.3))
(fp_line (start 0.25 1) (end 0.25 -1) (layer Dwgs.User) (width 0.3))
(fp_text user "KIKIT: width: 3mm" (at -5.5 0 90) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
)

View File

@ -0,0 +1,950 @@
(footprint "CuVoodoo_copper" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "CuVoodoo logo")
(attr smd board_only exclude_from_pos_files exclude_from_bom allow_missing_courtyard)
(fp_text reference "REF**" (at 0 -0.5 unlocked) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.1)))
(tstamp f846a54a-9ddc-4bbc-be16-9ad293284767)
)
(fp_text value "CuVoodoo_copper" (at 0 1 unlocked) (layer "F.Fab") hide
(effects (font (size 1 1) (thickness 0.15)))
(tstamp a2d5c5b6-08b2-4c1d-af6a-961acdb63b34)
)
(fp_line (start 6 5) (end 6 4)
(stroke (width 0.25) (type solid)) (layer "F.Cu") (tstamp db907c8a-7c13-47f0-8576-f8342c6fc43f))
(fp_line (start 8.75 3.75) (end 6.25 3.75)
(stroke (width 0.25) (type solid)) (layer "F.Cu") (tstamp 375d8ca2-9b58-471f-b2aa-d5a472f93281))
(fp_arc (start 6 4) (mid 6.073223 3.823223) (end 6.25 3.75)
(stroke (width 0.25) (type solid)) (layer "F.Cu") (tstamp 0af9ea9b-3856-4e16-89c4-11bf31d4c0f6))
(fp_poly
(pts
(xy 1.25 3.999999)
(xy 0.5 3.999999)
(xy 0.5 3.499999)
(xy 1.25 3.499999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Cu") (tstamp d164f9a4-dbe2-44e7-8ad8-2d914a8f7a6c))
(fp_poly
(pts
(xy 3.749999 9.499998)
(xy 3.499999 9.499998)
(xy 3.499999 8.999998)
(xy 3.749999 8.999998)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Cu") (tstamp 028a1ea9-a14e-4d86-884a-794e4e371399))
(fp_poly
(pts
(xy 6.249999 9.499998)
(xy 5.999999 9.499998)
(xy 5.999999 8.999998)
(xy 6.249999 8.999998)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Cu") (tstamp 6183cedd-1b0c-488e-b67c-11b1d2d2911f))
(fp_poly
(pts
(xy 9.499998 3.999999)
(xy 8.749998 3.999999)
(xy 8.749998 3.499999)
(xy 9.499998 3.499999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Cu") (tstamp 9f335a7b-79ff-4f78-b12d-8e0ae29f952f))
(fp_poly
(pts
(xy 4.499999 4.749999)
(xy 3.999999 4.749999)
(xy 3.999999 5.749999)
(xy 4.749999 5.749999)
(xy 4.749999 6.249999)
(xy 3.499999 6.249999)
(xy 3.499999 4.249999)
(xy 4.499999 4.249999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Cu") (tstamp b5fef6d2-7e7e-4965-bcdc-f4722e8267a7))
(fp_poly
(pts
(xy 6.249999 6.249999)
(xy 4.999999 6.249999)
(xy 4.999999 5.499999)
(xy 5.499999 5.499999)
(xy 5.499999 5.749999)
(xy 5.749999 5.749999)
(xy 5.749999 4.999999)
(xy 6.249999 4.999999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Cu") (tstamp 8bb02f0d-c4a1-49c9-a185-6c1be76e4313))
(fp_poly
(pts
(xy 4.750097 1.749764)
(xy 3.999755 1.749764)
(xy 3.999755 1.250053)
(xy 4.249869 1.250053)
(xy 4.249869 1.500167)
(xy 4.499983 1.500167)
(xy 4.499983 1.250053)
(xy 4.249869 1.250053)
(xy 3.999755 1.250053)
(xy 3.999755 0.999939)
(xy 4.750097 0.999939)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Cu") (tstamp 3d4db89c-b5bd-4689-87d8-3730cf8df8f4))
(fp_poly
(pts
(xy 4.499999 6.499999)
(xy 4.249999 6.749999)
(xy 3.849999 6.749999)
(xy 3.749999 6.849998)
(xy 3.749999 8.999998)
(xy 3.499999 8.999998)
(xy 3.499999 6.749999)
(xy 3.749999 6.499999)
(xy 4.149999 6.499999)
(xy 4.249999 6.399999)
(xy 4.249999 6.249999)
(xy 4.499999 6.249999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Cu") (tstamp f8a10a30-88d4-4521-a785-068b4a34a6b4))
(fp_poly
(pts
(xy 5.099999 3.949999)
(xy 5.099999 4.299999)
(xy 4.799999 4.599999)
(xy 4.499999 4.599999)
(xy 4.499999 4.399999)
(xy 4.749999 4.399999)
(xy 4.899999 4.249999)
(xy 4.899999 3.999999)
(xy 4.749999 3.849999)
(xy 1.25 3.849999)
(xy 1.25 3.649999)
(xy 4.799999 3.649999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Cu") (tstamp fafbca33-bd8b-4e58-9b6e-99199a1e20ef))
(fp_poly
(pts
(xy 5.499999 6.499999)
(xy 5.999999 6.499999)
(xy 6.013914 6.50029)
(xy 6.027526 6.501159)
(xy 6.040827 6.502595)
(xy 6.053808 6.504589)
(xy 6.066459 6.507133)
(xy 6.078771 6.510216)
(xy 6.090735 6.513831)
(xy 6.102343 6.517968)
(xy 6.113584 6.522617)
(xy 6.12445 6.52777)
(xy 6.134931 6.533417)
(xy 6.145019 6.53955)
(xy 6.154703 6.546158)
(xy 6.163976 6.553234)
(xy 6.172828 6.560767)
(xy 6.181249 6.568749)
(xy 6.189231 6.57717)
(xy 6.196764 6.586022)
(xy 6.20384 6.595295)
(xy 6.210448 6.604979)
(xy 6.216581 6.615067)
(xy 6.222228 6.625548)
(xy 6.227381 6.636414)
(xy 6.23203 6.647655)
(xy 6.236167 6.659262)
(xy 6.239782 6.671227)
(xy 6.242866 6.683539)
(xy 6.245409 6.69619)
(xy 6.247404 6.709171)
(xy 6.248839 6.722472)
(xy 6.249708 6.736084)
(xy 6.249999 6.749999)
(xy 6.249999 8.999998)
(xy 5.999999 8.999998)
(xy 5.999999 6.749999)
(xy 5.499999 6.749999)
(xy 5.486085 6.749707)
(xy 5.472472 6.748839)
(xy 5.459171 6.747403)
(xy 5.446191 6.745409)
(xy 5.43354 6.742865)
(xy 5.421227 6.739781)
(xy 5.409263 6.736167)
(xy 5.397655 6.73203)
(xy 5.386414 6.727381)
(xy 5.375549 6.722228)
(xy 5.365067 6.71658)
(xy 5.35498 6.710448)
(xy 5.345295 6.703839)
(xy 5.336022 6.696764)
(xy 5.327171 6.689231)
(xy 5.318749 6.681249)
(xy 5.310767 6.672828)
(xy 5.303234 6.663976)
(xy 5.296159 6.654703)
(xy 5.28955 6.645018)
(xy 5.283417 6.634931)
(xy 5.27777 6.62445)
(xy 5.272617 6.613584)
(xy 5.267968 6.602343)
(xy 5.263831 6.590735)
(xy 5.260216 6.578771)
(xy 5.257133 6.566459)
(xy 5.254589 6.553808)
(xy 5.252595 6.540827)
(xy 5.251159 6.527526)
(xy 5.25029 6.513914)
(xy 5.249999 6.499999)
(xy 5.249999 6.249999)
(xy 5.499999 6.249999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Cu") (tstamp 2e49765d-7fab-447c-af5f-c96b846f80fb))
(fp_poly
(pts
(xy 5.39343 1.00039)
(xy 5.411911 1.001755)
(xy 5.430234 1.004022)
(xy 5.448371 1.007178)
(xy 5.466289 1.01121)
(xy 5.483958 1.016105)
(xy 5.501347 1.021851)
(xy 5.518425 1.028435)
(xy 5.535162 1.035845)
(xy 5.551527 1.044066)
(xy 5.567488 1.053088)
(xy 5.583016 1.062896)
(xy 5.598079 1.073479)
(xy 5.612646 1.084823)
(xy 5.626688 1.096916)
(xy 5.640172 1.109744)
(xy 5.653004 1.123226)
(xy 5.6651 1.137264)
(xy 5.676447 1.15183)
(xy 5.687033 1.166891)
(xy 5.696845 1.182417)
(xy 5.70587 1.198377)
(xy 5.714095 1.214741)
(xy 5.721508 1.231478)
(xy 5.728096 1.248557)
(xy 5.733846 1.265948)
(xy 5.738745 1.283619)
(xy 5.742781 1.30154)
(xy 5.745941 1.31968)
(xy 5.748212 1.338009)
(xy 5.749581 1.356496)
(xy 5.750036 1.37511)
(xy 5.749531 1.3944)
(xy 5.748066 1.413437)
(xy 5.745665 1.432196)
(xy 5.742353 1.450655)
(xy 5.738151 1.468788)
(xy 5.733084 1.486574)
(xy 5.727176 1.503988)
(xy 5.72045 1.521007)
(xy 5.712929 1.537607)
(xy 5.704638 1.553765)
(xy 5.695599 1.569458)
(xy 5.685836 1.584661)
(xy 5.675373 1.599352)
(xy 5.664233 1.613506)
(xy 5.65244 1.6271)
(xy 5.640018 1.640112)
(xy 5.62699 1.652516)
(xy 5.613379 1.66429)
(xy 5.599209 1.67541)
(xy 5.584504 1.685853)
(xy 5.569287 1.695594)
(xy 5.553582 1.704612)
(xy 5.537412 1.712881)
(xy 5.520802 1.720379)
(xy 5.503773 1.727081)
(xy 5.486351 1.732966)
(xy 5.468558 1.738008)
(xy 5.450418 1.742184)
(xy 5.431955 1.745472)
(xy 5.413192 1.747846)
(xy 5.394153 1.749285)
(xy 5.374862 1.749764)
(xy 5.35559 1.749259)
(xy 5.336571 1.747797)
(xy 5.317828 1.7454)
(xy 5.299386 1.742093)
(xy 5.281268 1.737899)
(xy 5.263497 1.732841)
(xy 5.246096 1.726943)
(xy 5.22909 1.720229)
(xy 5.212501 1.712721)
(xy 5.196353 1.704443)
(xy 5.18067 1.695419)
(xy 5.165475 1.685672)
(xy 5.150791 1.675226)
(xy 5.136642 1.664104)
(xy 5.123052 1.65233)
(xy 5.110043 1.639927)
(xy 5.09764 1.626918)
(xy 5.085866 1.613328)
(xy 5.074744 1.599179)
(xy 5.064299 1.584495)
(xy 5.054552 1.5693)
(xy 5.045528 1.553617)
(xy 5.037251 1.537469)
(xy 5.029743 1.52088)
(xy 5.023029 1.503874)
(xy 5.017131 1.486473)
(xy 5.012074 1.468702)
(xy 5.00788 1.450584)
(xy 5.004573 1.432142)
(xy 5.002177 1.4134)
(xy 5.000715 1.394382)
(xy 5.000211 1.37511)
(xy 5.249807 1.37511)
(xy 5.249958 1.381312)
(xy 5.250414 1.387472)
(xy 5.25117 1.39358)
(xy 5.252223 1.399625)
(xy 5.253568 1.405598)
(xy 5.2552 1.411487)
(xy 5.257116 1.417283)
(xy 5.259311 1.422976)
(xy 5.261782 1.428554)
(xy 5.264523 1.434009)
(xy 5.267531 1.439329)
(xy 5.270801 1.444505)
(xy 5.274329 1.449525)
(xy 5.278111 1.454381)
(xy 5.282142 1.459061)
(xy 5.286419 1.463555)
(xy 5.290913 1.467832)
(xy 5.295593 1.471863)
(xy 5.300449 1.475645)
(xy 5.305469 1.479173)
(xy 5.310644 1.482443)
(xy 5.315964 1.485451)
(xy 5.321418 1.488192)
(xy 5.326997 1.490662)
(xy 5.332688 1.492858)
(xy 5.338484 1.494774)
(xy 5.344372 1.496406)
(xy 5.350343 1.497751)
(xy 5.356387 1.498803)
(xy 5.362493 1.49956)
(xy 5.368651 1.500016)
(xy 5.374851 1.500167)
(xy 5.381053 1.500016)
(xy 5.387213 1.499561)
(xy 5.393321 1.498806)
(xy 5.399366 1.497754)
(xy 5.405339 1.49641)
(xy 5.411229 1.494778)
(xy 5.417025 1.492862)
(xy 5.422718 1.490668)
(xy 5.428297 1.488198)
(xy 5.433752 1.485457)
(xy 5.439072 1.48245)
(xy 5.444248 1.479181)
(xy 5.449269 1.475653)
(xy 5.454125 1.471872)
(xy 5.458805 1.467841)
(xy 5.4633 1.463565)
(xy 5.467577 1.459071)
(xy 5.471609 1.454392)
(xy 5.475392 1.449536)
(xy 5.478921 1.444516)
(xy 5.482191 1.439341)
(xy 5.485199 1.434021)
(xy 5.487941 1.428566)
(xy 5.490412 1.422987)
(xy 5.492608 1.417294)
(xy 5.494525 1.411497)
(xy 5.496158 1.405607)
(xy 5.497503 1.399633)
(xy 5.498557 1.393586)
(xy 5.499314 1.387476)
(xy 5.49977 1.381314)
(xy 5.499922 1.37511)
(xy 5.499771 1.368908)
(xy 5.499315 1.362747)
(xy 5.498559 1.35664)
(xy 5.497506 1.350594)
(xy 5.496161 1.344622)
(xy 5.494529 1.338732)
(xy 5.492613 1.332936)
(xy 5.490418 1.327243)
(xy 5.487947 1.321665)
(xy 5.485206 1.31621)
(xy 5.482198 1.31089)
(xy 5.478928 1.305715)
(xy 5.4754 1.300694)
(xy 5.471618 1.295839)
(xy 5.467587 1.291159)
(xy 5.46331 1.286664)
(xy 5.458815 1.282387)
(xy 5.454135 1.278356)
(xy 5.44928 1.274574)
(xy 5.444259 1.271046)
(xy 5.439084 1.267776)
(xy 5.433764 1.264768)
(xy 5.428309 1.262027)
(xy 5.422731 1.259557)
(xy 5.417038 1.257361)
(xy 5.411242 1.255445)
(xy 5.405352 1.253813)
(xy 5.39938 1.252468)
(xy 5.393335 1.251416)
(xy 5.387227 1.250659)
(xy 5.381067 1.250204)
(xy 5.374865 1.250053)
(xy 5.37486 1.250053)
(xy 5.368658 1.250204)
(xy 5.362498 1.25066)
(xy 5.35639 1.251416)
(xy 5.350345 1.252469)
(xy 5.344372 1.253814)
(xy 5.338483 1.255447)
(xy 5.332687 1.257363)
(xy 5.326994 1.259558)
(xy 5.321416 1.262029)
(xy 5.315961 1.26477)
(xy 5.310641 1.267778)
(xy 5.305466 1.271048)
(xy 5.300445 1.274577)
(xy 5.29559 1.278359)
(xy 5.29091 1.28239)
(xy 5.286416 1.286667)
(xy 5.282139 1.291162)
(xy 5.278108 1.295842)
(xy 5.274326 1.300697)
(xy 5.270799 1.305718)
(xy 5.267529 1.310893)
(xy 5.264521 1.316214)
(xy 5.26178 1.321668)
(xy 5.25931 1.327247)
(xy 5.257115 1.332939)
(xy 5.255199 1.338735)
(xy 5.253567 1.344624)
(xy 5.252222 1.350596)
(xy 5.25117 1.356641)
(xy 5.250414 1.362749)
(xy 5.249958 1.368908)
(xy 5.249807 1.37511)
(xy 5.000211 1.37511)
(xy 5.00069 1.355818)
(xy 5.002128 1.33678)
(xy 5.004503 1.318017)
(xy 5.00779 1.299554)
(xy 5.011967 1.281415)
(xy 5.017009 1.263622)
(xy 5.022893 1.2462)
(xy 5.029596 1.229172)
(xy 5.037094 1.212561)
(xy 5.045363 1.196392)
(xy 5.05438 1.180687)
(xy 5.064122 1.16547)
(xy 5.074565 1.150765)
(xy 5.085685 1.136595)
(xy 5.097459 1.122985)
(xy 5.109863 1.109957)
(xy 5.122874 1.097534)
(xy 5.136468 1.085742)
(xy 5.150623 1.074602)
(xy 5.165313 1.064139)
(xy 5.180516 1.054376)
(xy 5.196209 1.045337)
(xy 5.212367 1.037046)
(xy 5.228967 1.029525)
(xy 5.245986 1.022799)
(xy 5.2634 1.016891)
(xy 5.281186 1.011824)
(xy 5.29932 1.007622)
(xy 5.317778 1.00431)
(xy 5.336537 1.001909)
(xy 5.355574 1.000444)
(xy 5.374843 0.999939)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Cu") (tstamp 1d08b000-bccf-4492-b816-54c8d5779b32))
(fp_poly
(pts
(xy 6.499999 2.75)
(xy 9.999998 2.75)
(xy 9.999998 4.749999)
(xy 6.999999 4.749999)
(xy 6.999999 9.999998)
(xy 5.249999 9.999998)
(xy 5.249999 7.499999)
(xy 4.499999 7.499999)
(xy 4.499999 9.999998)
(xy 2.75 9.999998)
(xy 2.75 4.749999)
(xy 0 4.749999)
(xy 0 4.249999)
(xy 0.5 4.249999)
(xy 3.25 4.249999)
(xy 3.25 9.499998)
(xy 3.999999 9.499998)
(xy 3.999999 6.999999)
(xy 5.749999 6.999999)
(xy 5.749999 9.499998)
(xy 6.499999 9.499998)
(xy 6.499999 4.249999)
(xy 9.499998 4.249999)
(xy 9.499998 3.25)
(xy 5.999999 3.25)
(xy 5.999999 0.5)
(xy 3.749999 0.5)
(xy 3.749999 3.25)
(xy 0.5 3.25)
(xy 0.5 4.249999)
(xy 0 4.249999)
(xy 0 2.75)
(xy 3.25 2.75)
(xy 3.25 0)
(xy 6.499999 0)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 48b3982a-b0ef-4bdd-846c-4ec519a8d5bc))
(fp_poly
(pts
(xy 4.649999 2.599999)
(xy 4.999999 2.25)
(xy 5.00483 2.245459)
(xy 5.009936 2.24121)
(xy 5.015297 2.237255)
(xy 5.020897 2.233593)
(xy 5.026717 2.230224)
(xy 5.032738 2.227148)
(xy 5.038942 2.224365)
(xy 5.045311 2.221875)
(xy 5.051827 2.219677)
(xy 5.058471 2.217773)
(xy 5.065224 2.216162)
(xy 5.072069 2.214843)
(xy 5.085961 2.213085)
(xy 5.099999 2.2125)
(xy 5.114037 2.213085)
(xy 5.127928 2.214843)
(xy 5.134774 2.216162)
(xy 5.141527 2.217773)
(xy 5.148171 2.219677)
(xy 5.154686 2.221875)
(xy 5.161055 2.224365)
(xy 5.16726 2.227148)
(xy 5.173281 2.230224)
(xy 5.1791 2.233593)
(xy 5.1847 2.237255)
(xy 5.190062 2.24121)
(xy 5.195168 2.245459)
(xy 5.199999 2.25)
(xy 5.549999 2.599999)
(xy 5.699999 2.450001)
(xy 5.709511 2.441349)
(xy 5.719262 2.434107)
(xy 5.729195 2.428221)
(xy 5.739257 2.423634)
(xy 5.749392 2.420292)
(xy 5.759545 2.418141)
(xy 5.769661 2.417124)
(xy 5.779687 2.417188)
(xy 5.789565 2.418278)
(xy 5.799242 2.420338)
(xy 5.808663 2.423313)
(xy 5.817772 2.427149)
(xy 5.826516 2.431791)
(xy 5.834838 2.437183)
(xy 5.842684 2.443272)
(xy 5.849999 2.450001)
(xy 5.856728 2.457316)
(xy 5.862816 2.465162)
(xy 5.868209 2.473484)
(xy 5.872851 2.482227)
(xy 5.876687 2.491337)
(xy 5.879662 2.500758)
(xy 5.881722 2.510435)
(xy 5.882811 2.520313)
(xy 5.882876 2.530338)
(xy 5.881859 2.540455)
(xy 5.879708 2.550608)
(xy 5.876366 2.560743)
(xy 5.871779 2.570805)
(xy 5.865892 2.580738)
(xy 5.858651 2.590489)
(xy 5.849999 2.600001)
(xy 5.649999 2.799999)
(xy 5.645168 2.80454)
(xy 5.640062 2.808788)
(xy 5.6347 2.812743)
(xy 5.6291 2.816405)
(xy 5.623281 2.819775)
(xy 5.61726 2.822851)
(xy 5.611055 2.825634)
(xy 5.604686 2.828124)
(xy 5.598171 2.830321)
(xy 5.591527 2.832226)
(xy 5.584774 2.833837)
(xy 5.577929 2.835155)
(xy 5.564037 2.836913)
(xy 5.549999 2.837499)
(xy 5.535961 2.836913)
(xy 5.522069 2.835155)
(xy 5.515224 2.833837)
(xy 5.508471 2.832226)
(xy 5.501827 2.830321)
(xy 5.495311 2.828124)
(xy 5.488942 2.825634)
(xy 5.482738 2.822851)
(xy 5.476717 2.819775)
(xy 5.470897 2.816405)
(xy 5.465297 2.812743)
(xy 5.459935 2.808788)
(xy 5.45483 2.80454)
(xy 5.449999 2.799999)
(xy 5.099999 2.449999)
(xy 4.699999 2.849999)
(xy 4.599999 2.849999)
(xy 4.199999 2.449999)
(xy 3.999999 2.649999)
(xy 3.849999 2.499999)
(xy 4.149999 2.199999)
(xy 4.249999 2.199999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 8e7e8123-55b6-4162-bc6d-b7ccbc23c7b7))
(fp_poly
(pts
(xy 4.5 4.749999)
(xy 4 4.749999)
(xy 4 5.749999)
(xy 4.75 5.749999)
(xy 4.75 6.249999)
(xy 3.5 6.249999)
(xy 3.5 4.249999)
(xy 4.5 4.249999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp 8b55f665-51fe-4f18-aeeb-0b29d234cbd1))
(fp_poly
(pts
(xy 6.249999 6.249999)
(xy 4.999999 6.249999)
(xy 4.999999 5.499999)
(xy 5.499999 5.499999)
(xy 5.499999 5.749999)
(xy 5.749999 5.749999)
(xy 5.749999 4.999999)
(xy 6.249999 4.999999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp 9f2e6f58-6e59-4dc2-bee3-5552eb5d89bd))
(fp_poly
(pts
(xy 4.750097 1.749764)
(xy 3.999755 1.749764)
(xy 3.999755 1.250053)
(xy 4.249869 1.250053)
(xy 4.249869 1.500167)
(xy 4.499983 1.500167)
(xy 4.499983 1.250053)
(xy 4.249869 1.250053)
(xy 3.999755 1.250053)
(xy 3.999755 0.999939)
(xy 4.750097 0.999939)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp 3475a9cd-42ef-4bcc-a644-1427f896999c))
(fp_poly
(pts
(xy 5.39392 1.000228)
(xy 5.412401 1.001593)
(xy 5.430724 1.00386)
(xy 5.448861 1.007016)
(xy 5.466779 1.011048)
(xy 5.484448 1.015943)
(xy 5.501837 1.021689)
(xy 5.518915 1.028273)
(xy 5.535652 1.035683)
(xy 5.552017 1.043904)
(xy 5.567978 1.052926)
(xy 5.583506 1.062734)
(xy 5.598569 1.073317)
(xy 5.613136 1.084661)
(xy 5.627178 1.096754)
(xy 5.640662 1.109582)
(xy 5.653494 1.123064)
(xy 5.66559 1.137102)
(xy 5.676937 1.151668)
(xy 5.687523 1.166729)
(xy 5.697335 1.182255)
(xy 5.70636 1.198215)
(xy 5.714585 1.214579)
(xy 5.721998 1.231316)
(xy 5.728586 1.248395)
(xy 5.734336 1.265786)
(xy 5.739235 1.283457)
(xy 5.743271 1.301378)
(xy 5.746431 1.319518)
(xy 5.748702 1.337847)
(xy 5.750071 1.356334)
(xy 5.750526 1.374948)
(xy 5.750021 1.394238)
(xy 5.748556 1.413275)
(xy 5.746155 1.432034)
(xy 5.742843 1.450493)
(xy 5.738641 1.468626)
(xy 5.733574 1.486412)
(xy 5.727666 1.503826)
(xy 5.72094 1.520845)
(xy 5.713419 1.537445)
(xy 5.705128 1.553603)
(xy 5.696089 1.569296)
(xy 5.686326 1.584499)
(xy 5.675863 1.59919)
(xy 5.664723 1.613344)
(xy 5.65293 1.626938)
(xy 5.640508 1.63995)
(xy 5.62748 1.652354)
(xy 5.613869 1.664128)
(xy 5.599699 1.675248)
(xy 5.584994 1.685691)
(xy 5.569777 1.695432)
(xy 5.554072 1.70445)
(xy 5.537902 1.712719)
(xy 5.521292 1.720217)
(xy 5.504263 1.726919)
(xy 5.486841 1.732804)
(xy 5.469048 1.737846)
(xy 5.450908 1.742022)
(xy 5.432445 1.74531)
(xy 5.413682 1.747684)
(xy 5.394643 1.749123)
(xy 5.375352 1.749602)
(xy 5.35608 1.749097)
(xy 5.337061 1.747635)
(xy 5.318318 1.745238)
(xy 5.299876 1.741931)
(xy 5.281758 1.737737)
(xy 5.263987 1.732679)
(xy 5.246586 1.726781)
(xy 5.22958 1.720067)
(xy 5.212991 1.712559)
(xy 5.196843 1.704281)
(xy 5.18116 1.695257)
(xy 5.165965 1.68551)
(xy 5.151281 1.675064)
(xy 5.137132 1.663942)
(xy 5.123542 1.652168)
(xy 5.110533 1.639765)
(xy 5.09813 1.626756)
(xy 5.086356 1.613166)
(xy 5.075234 1.599017)
(xy 5.064789 1.584333)
(xy 5.055042 1.569138)
(xy 5.046018 1.553455)
(xy 5.037741 1.537307)
(xy 5.030233 1.520718)
(xy 5.023519 1.503712)
(xy 5.017621 1.486311)
(xy 5.012564 1.46854)
(xy 5.00837 1.450422)
(xy 5.005063 1.43198)
(xy 5.002667 1.413238)
(xy 5.001205 1.39422)
(xy 5.000701 1.374948)
(xy 5.250297 1.374948)
(xy 5.250448 1.38115)
(xy 5.250904 1.38731)
(xy 5.25166 1.393418)
(xy 5.252713 1.399463)
(xy 5.254058 1.405436)
(xy 5.25569 1.411325)
(xy 5.257606 1.417121)
(xy 5.259801 1.422814)
(xy 5.262272 1.428392)
(xy 5.265013 1.433847)
(xy 5.268021 1.439167)
(xy 5.271291 1.444343)
(xy 5.274819 1.449363)
(xy 5.278601 1.454219)
(xy 5.282632 1.458899)
(xy 5.286909 1.463393)
(xy 5.291403 1.46767)
(xy 5.296083 1.471701)
(xy 5.300939 1.475483)
(xy 5.305959 1.479011)
(xy 5.311134 1.482281)
(xy 5.316454 1.485289)
(xy 5.321908 1.48803)
(xy 5.327487 1.4905)
(xy 5.333178 1.492696)
(xy 5.338974 1.494612)
(xy 5.344862 1.496244)
(xy 5.350833 1.497589)
(xy 5.356877 1.498641)
(xy 5.362983 1.499398)
(xy 5.369141 1.499854)
(xy 5.375341 1.500005)
(xy 5.381543 1.499854)
(xy 5.387703 1.499399)
(xy 5.393811 1.498644)
(xy 5.399856 1.497592)
(xy 5.405829 1.496248)
(xy 5.411719 1.494616)
(xy 5.417515 1.4927)
(xy 5.423208 1.490506)
(xy 5.428787 1.488036)
(xy 5.434242 1.485295)
(xy 5.439562 1.482288)
(xy 5.444738 1.479019)
(xy 5.449759 1.475491)
(xy 5.454615 1.47171)
(xy 5.459295 1.467679)
(xy 5.46379 1.463403)
(xy 5.468067 1.458909)
(xy 5.472099 1.45423)
(xy 5.475882 1.449374)
(xy 5.479411 1.444354)
(xy 5.482681 1.439179)
(xy 5.485689 1.433859)
(xy 5.488431 1.428404)
(xy 5.490902 1.422825)
(xy 5.493098 1.417132)
(xy 5.495015 1.411335)
(xy 5.496648 1.405445)
(xy 5.497993 1.399471)
(xy 5.499047 1.393424)
(xy 5.499804 1.387314)
(xy 5.50026 1.381152)
(xy 5.500412 1.374948)
(xy 5.500261 1.368746)
(xy 5.499805 1.362585)
(xy 5.499049 1.356478)
(xy 5.497996 1.350432)
(xy 5.496651 1.34446)
(xy 5.495019 1.33857)
(xy 5.493103 1.332774)
(xy 5.490908 1.327081)
(xy 5.488437 1.321503)
(xy 5.485696 1.316048)
(xy 5.482688 1.310728)
(xy 5.479418 1.305553)
(xy 5.47589 1.300532)
(xy 5.472108 1.295677)
(xy 5.468077 1.290997)
(xy 5.4638 1.286502)
(xy 5.459305 1.282225)
(xy 5.454625 1.278194)
(xy 5.44977 1.274412)
(xy 5.444749 1.270884)
(xy 5.439574 1.267614)
(xy 5.434254 1.264606)
(xy 5.428799 1.261865)
(xy 5.423221 1.259395)
(xy 5.417528 1.257199)
(xy 5.411732 1.255283)
(xy 5.405842 1.253651)
(xy 5.39987 1.252306)
(xy 5.393825 1.251254)
(xy 5.387717 1.250497)
(xy 5.381557 1.250042)
(xy 5.375355 1.249891)
(xy 5.37535 1.249891)
(xy 5.369148 1.250042)
(xy 5.362988 1.250498)
(xy 5.35688 1.251254)
(xy 5.350835 1.252307)
(xy 5.344862 1.253652)
(xy 5.338973 1.255285)
(xy 5.333177 1.257201)
(xy 5.327484 1.259396)
(xy 5.321906 1.261867)
(xy 5.316451 1.264608)
(xy 5.311131 1.267616)
(xy 5.305956 1.270886)
(xy 5.300935 1.274415)
(xy 5.29608 1.278197)
(xy 5.2914 1.282228)
(xy 5.286906 1.286505)
(xy 5.282629 1.291)
(xy 5.278598 1.29568)
(xy 5.274816 1.300535)
(xy 5.271289 1.305556)
(xy 5.268019 1.310731)
(xy 5.265011 1.316052)
(xy 5.26227 1.321506)
(xy 5.2598 1.327085)
(xy 5.257605 1.332777)
(xy 5.255689 1.338573)
(xy 5.254057 1.344462)
(xy 5.252712 1.350434)
(xy 5.25166 1.356479)
(xy 5.250904 1.362587)
(xy 5.250448 1.368746)
(xy 5.250297 1.374948)
(xy 5.000701 1.374948)
(xy 5.00118 1.355656)
(xy 5.002618 1.336618)
(xy 5.004993 1.317855)
(xy 5.00828 1.299392)
(xy 5.012457 1.281253)
(xy 5.017499 1.26346)
(xy 5.023383 1.246038)
(xy 5.030086 1.22901)
(xy 5.037584 1.212399)
(xy 5.045853 1.19623)
(xy 5.05487 1.180525)
(xy 5.064612 1.165308)
(xy 5.075055 1.150603)
(xy 5.086175 1.136433)
(xy 5.097949 1.122823)
(xy 5.110353 1.109795)
(xy 5.123364 1.097372)
(xy 5.136958 1.08558)
(xy 5.151113 1.07444)
(xy 5.165803 1.063977)
(xy 5.181006 1.054214)
(xy 5.196699 1.045175)
(xy 5.212857 1.036884)
(xy 5.229457 1.029363)
(xy 5.246476 1.022637)
(xy 5.26389 1.016729)
(xy 5.281676 1.011662)
(xy 5.29981 1.00746)
(xy 5.318268 1.004148)
(xy 5.337027 1.001747)
(xy 5.356064 1.000282)
(xy 5.375333 0.999777)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp 124b507f-f4a3-4671-8b9f-ff52927e79fb))
(zone (net 0) (net_name "") (layer "F.Cu") (tstamp 03179a53-f9cb-47a4-9263-79e71852657a) (name "copper keepout") (hatch edge 0.508)
(connect_pads (clearance 0))
(min_thickness 0.254) (filled_areas_thickness no)
(keepout (tracks not_allowed) (vias not_allowed) (pads not_allowed) (copperpour not_allowed) (footprints allowed))
(fill (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy 0 2.75)
(xy 3.25 2.75)
(xy 3.25 0)
(xy 6.499999 0)
(xy 6.499999 2.75)
(xy 9.999998 2.75)
(xy 9.999998 4.749999)
(xy 6.999999 4.749999)
(xy 6.999999 9.999998)
(xy 5.249999 9.999998)
(xy 5.249999 7.499999)
(xy 4.499999 7.499999)
(xy 4.499999 9.999998)
(xy 2.75 9.999998)
(xy 2.75 4.749999)
(xy 0 4.749999)
)
)
)
)

View File

@ -0,0 +1,617 @@
(footprint "CuVoodoo_silk" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(attr board_only exclude_from_pos_files exclude_from_bom allow_missing_courtyard)
(fp_text reference "REF**" (at 0 -0.5 unlocked) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.1)))
(tstamp f846a54a-9ddc-4bbc-be16-9ad293284767)
)
(fp_text value "CuVoodoo_silk" (at 0 1 unlocked) (layer "F.Fab") hide
(effects (font (size 1 1) (thickness 0.15)))
(tstamp a2d5c5b6-08b2-4c1d-af6a-961acdb63b34)
)
(fp_line (start 6 5) (end 6 4)
(stroke (width 0.25) (type solid)) (layer "F.SilkS") (tstamp db907c8a-7c13-47f0-8576-f8342c6fc43f))
(fp_line (start 8.75 3.75) (end 6.25 3.75)
(stroke (width 0.25) (type solid)) (layer "F.SilkS") (tstamp 375d8ca2-9b58-471f-b2aa-d5a472f93281))
(fp_arc (start 6 4) (mid 6.073223 3.823223) (end 6.25 3.75)
(stroke (width 0.25) (type solid)) (layer "F.SilkS") (tstamp 0af9ea9b-3856-4e16-89c4-11bf31d4c0f6))
(fp_poly
(pts
(xy 1.25 3.999999)
(xy 0.5 3.999999)
(xy 0.5 3.499999)
(xy 1.25 3.499999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp d164f9a4-dbe2-44e7-8ad8-2d914a8f7a6c))
(fp_poly
(pts
(xy 3.749999 9.499998)
(xy 3.499999 9.499998)
(xy 3.499999 8.999998)
(xy 3.749999 8.999998)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 028a1ea9-a14e-4d86-884a-794e4e371399))
(fp_poly
(pts
(xy 6.249999 9.499998)
(xy 5.999999 9.499998)
(xy 5.999999 8.999998)
(xy 6.249999 8.999998)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6183cedd-1b0c-488e-b67c-11b1d2d2911f))
(fp_poly
(pts
(xy 9.499998 3.999999)
(xy 8.749998 3.999999)
(xy 8.749998 3.499999)
(xy 9.499998 3.499999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 9f335a7b-79ff-4f78-b12d-8e0ae29f952f))
(fp_poly
(pts
(xy 4.499999 4.749999)
(xy 3.999999 4.749999)
(xy 3.999999 5.749999)
(xy 4.749999 5.749999)
(xy 4.749999 6.249999)
(xy 3.499999 6.249999)
(xy 3.499999 4.249999)
(xy 4.499999 4.249999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp b5fef6d2-7e7e-4965-bcdc-f4722e8267a7))
(fp_poly
(pts
(xy 6.249999 6.249999)
(xy 4.999999 6.249999)
(xy 4.999999 5.499999)
(xy 5.499999 5.499999)
(xy 5.499999 5.749999)
(xy 5.749999 5.749999)
(xy 5.749999 4.999999)
(xy 6.249999 4.999999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 8bb02f0d-c4a1-49c9-a185-6c1be76e4313))
(fp_poly
(pts
(xy 4.750097 1.749764)
(xy 3.999755 1.749764)
(xy 3.999755 1.250053)
(xy 4.249869 1.250053)
(xy 4.249869 1.500167)
(xy 4.499983 1.500167)
(xy 4.499983 1.250053)
(xy 4.249869 1.250053)
(xy 3.999755 1.250053)
(xy 3.999755 0.999939)
(xy 4.750097 0.999939)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 3d4db89c-b5bd-4689-87d8-3730cf8df8f4))
(fp_poly
(pts
(xy 4.499999 6.499999)
(xy 4.249999 6.749999)
(xy 3.849999 6.749999)
(xy 3.749999 6.849998)
(xy 3.749999 8.999998)
(xy 3.499999 8.999998)
(xy 3.499999 6.749999)
(xy 3.749999 6.499999)
(xy 4.149999 6.499999)
(xy 4.249999 6.399999)
(xy 4.249999 6.249999)
(xy 4.499999 6.249999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f8a10a30-88d4-4521-a785-068b4a34a6b4))
(fp_poly
(pts
(xy 5.099999 3.949999)
(xy 5.099999 4.299999)
(xy 4.799999 4.599999)
(xy 4.499999 4.599999)
(xy 4.499999 4.399999)
(xy 4.749999 4.399999)
(xy 4.899999 4.249999)
(xy 4.899999 3.999999)
(xy 4.749999 3.849999)
(xy 1.25 3.849999)
(xy 1.25 3.649999)
(xy 4.799999 3.649999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp fafbca33-bd8b-4e58-9b6e-99199a1e20ef))
(fp_poly
(pts
(xy 6.499999 2.75)
(xy 9.999998 2.75)
(xy 9.999998 4.749999)
(xy 6.999999 4.749999)
(xy 6.999999 9.999998)
(xy 5.249999 9.999998)
(xy 5.249999 7.499999)
(xy 4.499999 7.499999)
(xy 4.499999 9.999998)
(xy 2.75 9.999998)
(xy 2.75 4.749999)
(xy 0 4.749999)
(xy 0 4.249999)
(xy 0.5 4.249999)
(xy 3.25 4.249999)
(xy 3.25 9.499998)
(xy 3.999999 9.499998)
(xy 3.999999 6.999999)
(xy 5.749999 6.999999)
(xy 5.749999 9.499998)
(xy 6.499999 9.499998)
(xy 6.499999 4.249999)
(xy 9.499998 4.249999)
(xy 9.499998 3.25)
(xy 5.999999 3.25)
(xy 5.999999 0.5)
(xy 3.749999 0.5)
(xy 3.749999 3.25)
(xy 0.5 3.25)
(xy 0.5 4.249999)
(xy 0 4.249999)
(xy 0 2.75)
(xy 3.25 2.75)
(xy 3.25 0)
(xy 6.499999 0)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 48b3982a-b0ef-4bdd-846c-4ec519a8d5bc))
(fp_poly
(pts
(xy 5.499999 6.499999)
(xy 5.999999 6.499999)
(xy 6.013914 6.50029)
(xy 6.027526 6.501159)
(xy 6.040827 6.502595)
(xy 6.053808 6.504589)
(xy 6.066459 6.507133)
(xy 6.078771 6.510216)
(xy 6.090735 6.513831)
(xy 6.102343 6.517968)
(xy 6.113584 6.522617)
(xy 6.12445 6.52777)
(xy 6.134931 6.533417)
(xy 6.145019 6.53955)
(xy 6.154703 6.546158)
(xy 6.163976 6.553234)
(xy 6.172828 6.560767)
(xy 6.181249 6.568749)
(xy 6.189231 6.57717)
(xy 6.196764 6.586022)
(xy 6.20384 6.595295)
(xy 6.210448 6.604979)
(xy 6.216581 6.615067)
(xy 6.222228 6.625548)
(xy 6.227381 6.636414)
(xy 6.23203 6.647655)
(xy 6.236167 6.659262)
(xy 6.239782 6.671227)
(xy 6.242866 6.683539)
(xy 6.245409 6.69619)
(xy 6.247404 6.709171)
(xy 6.248839 6.722472)
(xy 6.249708 6.736084)
(xy 6.249999 6.749999)
(xy 6.249999 8.999998)
(xy 5.999999 8.999998)
(xy 5.999999 6.749999)
(xy 5.499999 6.749999)
(xy 5.486085 6.749707)
(xy 5.472472 6.748839)
(xy 5.459171 6.747403)
(xy 5.446191 6.745409)
(xy 5.43354 6.742865)
(xy 5.421227 6.739781)
(xy 5.409263 6.736167)
(xy 5.397655 6.73203)
(xy 5.386414 6.727381)
(xy 5.375549 6.722228)
(xy 5.365067 6.71658)
(xy 5.35498 6.710448)
(xy 5.345295 6.703839)
(xy 5.336022 6.696764)
(xy 5.327171 6.689231)
(xy 5.318749 6.681249)
(xy 5.310767 6.672828)
(xy 5.303234 6.663976)
(xy 5.296159 6.654703)
(xy 5.28955 6.645018)
(xy 5.283417 6.634931)
(xy 5.27777 6.62445)
(xy 5.272617 6.613584)
(xy 5.267968 6.602343)
(xy 5.263831 6.590735)
(xy 5.260216 6.578771)
(xy 5.257133 6.566459)
(xy 5.254589 6.553808)
(xy 5.252595 6.540827)
(xy 5.251159 6.527526)
(xy 5.25029 6.513914)
(xy 5.249999 6.499999)
(xy 5.249999 6.249999)
(xy 5.499999 6.249999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 2e49765d-7fab-447c-af5f-c96b846f80fb))
(fp_poly
(pts
(xy 4.649999 2.599999)
(xy 4.999999 2.25)
(xy 5.00483 2.245459)
(xy 5.009936 2.24121)
(xy 5.015297 2.237255)
(xy 5.020897 2.233593)
(xy 5.026717 2.230224)
(xy 5.032738 2.227148)
(xy 5.038942 2.224365)
(xy 5.045311 2.221875)
(xy 5.051827 2.219677)
(xy 5.058471 2.217773)
(xy 5.065224 2.216162)
(xy 5.072069 2.214843)
(xy 5.085961 2.213085)
(xy 5.099999 2.2125)
(xy 5.114037 2.213085)
(xy 5.127928 2.214843)
(xy 5.134774 2.216162)
(xy 5.141527 2.217773)
(xy 5.148171 2.219677)
(xy 5.154686 2.221875)
(xy 5.161055 2.224365)
(xy 5.16726 2.227148)
(xy 5.173281 2.230224)
(xy 5.1791 2.233593)
(xy 5.1847 2.237255)
(xy 5.190062 2.24121)
(xy 5.195168 2.245459)
(xy 5.199999 2.25)
(xy 5.549999 2.599999)
(xy 5.699999 2.450001)
(xy 5.709511 2.441349)
(xy 5.719262 2.434107)
(xy 5.729195 2.428221)
(xy 5.739257 2.423634)
(xy 5.749392 2.420292)
(xy 5.759545 2.418141)
(xy 5.769661 2.417124)
(xy 5.779687 2.417188)
(xy 5.789565 2.418278)
(xy 5.799242 2.420338)
(xy 5.808663 2.423313)
(xy 5.817772 2.427149)
(xy 5.826516 2.431791)
(xy 5.834838 2.437183)
(xy 5.842684 2.443272)
(xy 5.849999 2.450001)
(xy 5.856728 2.457316)
(xy 5.862816 2.465162)
(xy 5.868209 2.473484)
(xy 5.872851 2.482227)
(xy 5.876687 2.491337)
(xy 5.879662 2.500758)
(xy 5.881722 2.510435)
(xy 5.882811 2.520313)
(xy 5.882876 2.530338)
(xy 5.881859 2.540455)
(xy 5.879708 2.550608)
(xy 5.876366 2.560743)
(xy 5.871779 2.570805)
(xy 5.865892 2.580738)
(xy 5.858651 2.590489)
(xy 5.849999 2.600001)
(xy 5.649999 2.799999)
(xy 5.645168 2.80454)
(xy 5.640062 2.808788)
(xy 5.6347 2.812743)
(xy 5.6291 2.816405)
(xy 5.623281 2.819775)
(xy 5.61726 2.822851)
(xy 5.611055 2.825634)
(xy 5.604686 2.828124)
(xy 5.598171 2.830321)
(xy 5.591527 2.832226)
(xy 5.584774 2.833837)
(xy 5.577929 2.835155)
(xy 5.564037 2.836913)
(xy 5.549999 2.837499)
(xy 5.535961 2.836913)
(xy 5.522069 2.835155)
(xy 5.515224 2.833837)
(xy 5.508471 2.832226)
(xy 5.501827 2.830321)
(xy 5.495311 2.828124)
(xy 5.488942 2.825634)
(xy 5.482738 2.822851)
(xy 5.476717 2.819775)
(xy 5.470897 2.816405)
(xy 5.465297 2.812743)
(xy 5.459935 2.808788)
(xy 5.45483 2.80454)
(xy 5.449999 2.799999)
(xy 5.099999 2.449999)
(xy 4.699999 2.849999)
(xy 4.599999 2.849999)
(xy 4.199999 2.449999)
(xy 3.999999 2.649999)
(xy 3.849999 2.499999)
(xy 4.149999 2.199999)
(xy 4.249999 2.199999)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 8e7e8123-55b6-4162-bc6d-b7ccbc23c7b7))
(fp_poly
(pts
(xy 5.39343 1.00039)
(xy 5.411911 1.001755)
(xy 5.430234 1.004022)
(xy 5.448371 1.007178)
(xy 5.466289 1.01121)
(xy 5.483958 1.016105)
(xy 5.501347 1.021851)
(xy 5.518425 1.028435)
(xy 5.535162 1.035845)
(xy 5.551527 1.044066)
(xy 5.567488 1.053088)
(xy 5.583016 1.062896)
(xy 5.598079 1.073479)
(xy 5.612646 1.084823)
(xy 5.626688 1.096916)
(xy 5.640172 1.109744)
(xy 5.653004 1.123226)
(xy 5.6651 1.137264)
(xy 5.676447 1.15183)
(xy 5.687033 1.166891)
(xy 5.696845 1.182417)
(xy 5.70587 1.198377)
(xy 5.714095 1.214741)
(xy 5.721508 1.231478)
(xy 5.728096 1.248557)
(xy 5.733846 1.265948)
(xy 5.738745 1.283619)
(xy 5.742781 1.30154)
(xy 5.745941 1.31968)
(xy 5.748212 1.338009)
(xy 5.749581 1.356496)
(xy 5.750036 1.37511)
(xy 5.749531 1.3944)
(xy 5.748066 1.413437)
(xy 5.745665 1.432196)
(xy 5.742353 1.450655)
(xy 5.738151 1.468788)
(xy 5.733084 1.486574)
(xy 5.727176 1.503988)
(xy 5.72045 1.521007)
(xy 5.712929 1.537607)
(xy 5.704638 1.553765)
(xy 5.695599 1.569458)
(xy 5.685836 1.584661)
(xy 5.675373 1.599352)
(xy 5.664233 1.613506)
(xy 5.65244 1.6271)
(xy 5.640018 1.640112)
(xy 5.62699 1.652516)
(xy 5.613379 1.66429)
(xy 5.599209 1.67541)
(xy 5.584504 1.685853)
(xy 5.569287 1.695594)
(xy 5.553582 1.704612)
(xy 5.537412 1.712881)
(xy 5.520802 1.720379)
(xy 5.503773 1.727081)
(xy 5.486351 1.732966)
(xy 5.468558 1.738008)
(xy 5.450418 1.742184)
(xy 5.431955 1.745472)
(xy 5.413192 1.747846)
(xy 5.394153 1.749285)
(xy 5.374862 1.749764)
(xy 5.35559 1.749259)
(xy 5.336571 1.747797)
(xy 5.317828 1.7454)
(xy 5.299386 1.742093)
(xy 5.281268 1.737899)
(xy 5.263497 1.732841)
(xy 5.246096 1.726943)
(xy 5.22909 1.720229)
(xy 5.212501 1.712721)
(xy 5.196353 1.704443)
(xy 5.18067 1.695419)
(xy 5.165475 1.685672)
(xy 5.150791 1.675226)
(xy 5.136642 1.664104)
(xy 5.123052 1.65233)
(xy 5.110043 1.639927)
(xy 5.09764 1.626918)
(xy 5.085866 1.613328)
(xy 5.074744 1.599179)
(xy 5.064299 1.584495)
(xy 5.054552 1.5693)
(xy 5.045528 1.553617)
(xy 5.037251 1.537469)
(xy 5.029743 1.52088)
(xy 5.023029 1.503874)
(xy 5.017131 1.486473)
(xy 5.012074 1.468702)
(xy 5.00788 1.450584)
(xy 5.004573 1.432142)
(xy 5.002177 1.4134)
(xy 5.000715 1.394382)
(xy 5.000211 1.37511)
(xy 5.249807 1.37511)
(xy 5.249958 1.381312)
(xy 5.250414 1.387472)
(xy 5.25117 1.39358)
(xy 5.252223 1.399625)
(xy 5.253568 1.405598)
(xy 5.2552 1.411487)
(xy 5.257116 1.417283)
(xy 5.259311 1.422976)
(xy 5.261782 1.428554)
(xy 5.264523 1.434009)
(xy 5.267531 1.439329)
(xy 5.270801 1.444505)
(xy 5.274329 1.449525)
(xy 5.278111 1.454381)
(xy 5.282142 1.459061)
(xy 5.286419 1.463555)
(xy 5.290913 1.467832)
(xy 5.295593 1.471863)
(xy 5.300449 1.475645)
(xy 5.305469 1.479173)
(xy 5.310644 1.482443)
(xy 5.315964 1.485451)
(xy 5.321418 1.488192)
(xy 5.326997 1.490662)
(xy 5.332688 1.492858)
(xy 5.338484 1.494774)
(xy 5.344372 1.496406)
(xy 5.350343 1.497751)
(xy 5.356387 1.498803)
(xy 5.362493 1.49956)
(xy 5.368651 1.500016)
(xy 5.374851 1.500167)
(xy 5.381053 1.500016)
(xy 5.387213 1.499561)
(xy 5.393321 1.498806)
(xy 5.399366 1.497754)
(xy 5.405339 1.49641)
(xy 5.411229 1.494778)
(xy 5.417025 1.492862)
(xy 5.422718 1.490668)
(xy 5.428297 1.488198)
(xy 5.433752 1.485457)
(xy 5.439072 1.48245)
(xy 5.444248 1.479181)
(xy 5.449269 1.475653)
(xy 5.454125 1.471872)
(xy 5.458805 1.467841)
(xy 5.4633 1.463565)
(xy 5.467577 1.459071)
(xy 5.471609 1.454392)
(xy 5.475392 1.449536)
(xy 5.478921 1.444516)
(xy 5.482191 1.439341)
(xy 5.485199 1.434021)
(xy 5.487941 1.428566)
(xy 5.490412 1.422987)
(xy 5.492608 1.417294)
(xy 5.494525 1.411497)
(xy 5.496158 1.405607)
(xy 5.497503 1.399633)
(xy 5.498557 1.393586)
(xy 5.499314 1.387476)
(xy 5.49977 1.381314)
(xy 5.499922 1.37511)
(xy 5.499771 1.368908)
(xy 5.499315 1.362747)
(xy 5.498559 1.35664)
(xy 5.497506 1.350594)
(xy 5.496161 1.344622)
(xy 5.494529 1.338732)
(xy 5.492613 1.332936)
(xy 5.490418 1.327243)
(xy 5.487947 1.321665)
(xy 5.485206 1.31621)
(xy 5.482198 1.31089)
(xy 5.478928 1.305715)
(xy 5.4754 1.300694)
(xy 5.471618 1.295839)
(xy 5.467587 1.291159)
(xy 5.46331 1.286664)
(xy 5.458815 1.282387)
(xy 5.454135 1.278356)
(xy 5.44928 1.274574)
(xy 5.444259 1.271046)
(xy 5.439084 1.267776)
(xy 5.433764 1.264768)
(xy 5.428309 1.262027)
(xy 5.422731 1.259557)
(xy 5.417038 1.257361)
(xy 5.411242 1.255445)
(xy 5.405352 1.253813)
(xy 5.39938 1.252468)
(xy 5.393335 1.251416)
(xy 5.387227 1.250659)
(xy 5.381067 1.250204)
(xy 5.374865 1.250053)
(xy 5.37486 1.250053)
(xy 5.368658 1.250204)
(xy 5.362498 1.25066)
(xy 5.35639 1.251416)
(xy 5.350345 1.252469)
(xy 5.344372 1.253814)
(xy 5.338483 1.255447)
(xy 5.332687 1.257363)
(xy 5.326994 1.259558)
(xy 5.321416 1.262029)
(xy 5.315961 1.26477)
(xy 5.310641 1.267778)
(xy 5.305466 1.271048)
(xy 5.300445 1.274577)
(xy 5.29559 1.278359)
(xy 5.29091 1.28239)
(xy 5.286416 1.286667)
(xy 5.282139 1.291162)
(xy 5.278108 1.295842)
(xy 5.274326 1.300697)
(xy 5.270799 1.305718)
(xy 5.267529 1.310893)
(xy 5.264521 1.316214)
(xy 5.26178 1.321668)
(xy 5.25931 1.327247)
(xy 5.257115 1.332939)
(xy 5.255199 1.338735)
(xy 5.253567 1.344624)
(xy 5.252222 1.350596)
(xy 5.25117 1.356641)
(xy 5.250414 1.362749)
(xy 5.249958 1.368908)
(xy 5.249807 1.37511)
(xy 5.000211 1.37511)
(xy 5.00069 1.355818)
(xy 5.002128 1.33678)
(xy 5.004503 1.318017)
(xy 5.00779 1.299554)
(xy 5.011967 1.281415)
(xy 5.017009 1.263622)
(xy 5.022893 1.2462)
(xy 5.029596 1.229172)
(xy 5.037094 1.212561)
(xy 5.045363 1.196392)
(xy 5.05438 1.180687)
(xy 5.064122 1.16547)
(xy 5.074565 1.150765)
(xy 5.085685 1.136595)
(xy 5.097459 1.122985)
(xy 5.109863 1.109957)
(xy 5.122874 1.097534)
(xy 5.136468 1.085742)
(xy 5.150623 1.074602)
(xy 5.165313 1.064139)
(xy 5.180516 1.054376)
(xy 5.196209 1.045337)
(xy 5.212367 1.037046)
(xy 5.228967 1.029525)
(xy 5.245986 1.022799)
(xy 5.2634 1.016891)
(xy 5.281186 1.011824)
(xy 5.29932 1.007622)
(xy 5.317778 1.00431)
(xy 5.336537 1.001909)
(xy 5.355574 1.000444)
(xy 5.374843 0.999939)
)
(stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 1d08b000-bccf-4492-b816-54c8d5779b32))
)

View File

@ -0,0 +1,108 @@
(footprint "OSHW" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(attr board_only exclude_from_pos_files exclude_from_bom allow_missing_courtyard)
(fp_text reference "REF**" (at 0 -0.5 unlocked) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.1)))
(tstamp f1e067ff-287b-4a60-b936-f32f4b57d565)
)
(fp_text value "OSHW" (at 0 1 unlocked) (layer "F.Fab") hide
(effects (font (size 1 1) (thickness 0.15)))
(tstamp b22282bc-de50-4908-a3c5-ad7501a68e4a)
)
(fp_line (start 0.227273 2.727273) (end 1.136364 2.727273)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 98b07e86-f9c3-4bf7-a6f5-6a56482e2897))
(fp_line (start 0.227273 3.636364) (end 1.136364 3.636364)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp dccee07a-d18e-4ba7-858f-7f23e71bcf27))
(fp_line (start 0.227273 4.545455) (end 1.136364 4.545455)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 76e9343e-0e40-4f34-a90b-b087051025c3))
(fp_line (start 0.227273 5.454545) (end 1.136364 5.454545)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 4e82f5bd-af60-4042-8f2e-202eaa482979))
(fp_line (start 0.227273 6.363636) (end 1.136364 6.363636)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 34a1afca-5d85-46a0-aca5-604a50c5bda8))
(fp_line (start 0.227273 7.272727) (end 1.136364 7.272727)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp dc17a983-22c3-4252-ae10-8a5c03c50003))
(fp_line (start 2.045455 7.272727) (end 2.045455 2.727273)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 05a38864-bce5-4cc6-9189-73a3b6e9cbec))
(fp_line (start 2.727273 0.227273) (end 2.727273 1.136364)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 9a4f29d0-79a2-4234-bbe1-ec1114961d42))
(fp_line (start 2.727273 2.045455) (end 7.272727 2.045455)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 633e016d-9b63-4582-a5e0-3bc94e65d857))
(fp_line (start 2.727273 2.727273) (end 4.545455 2.727273)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp bae30b0a-af4f-48a4-8eba-7a655fdf352d))
(fp_line (start 2.727273 4.545455) (end 2.727273 2.727273)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp cc82584b-778c-4674-b4ee-9bd9ded36a0d))
(fp_line (start 2.727273 5.454545) (end 2.727273 7.272727)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 86544b96-dc59-4598-b1fe-344688e7ff78))
(fp_line (start 2.727273 6.363636) (end 4.545455 6.363636)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 3aad49a5-3e92-4637-8e20-5ea50f6cb2a6))
(fp_line (start 2.727273 8.863636) (end 2.727273 9.772727)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 2964accd-69b3-4204-b688-9624db327d3d))
(fp_line (start 3.636364 0.227273) (end 3.636364 1.136364)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 4dc8a05d-5b11-4095-a2a6-9156ba92924c))
(fp_line (start 3.636364 8.863636) (end 3.636364 9.772727)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 4a270c37-9c34-4036-a17d-4428471936f8))
(fp_line (start 4.545455 0.227273) (end 4.545455 1.136364)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 932c2ca5-240f-40cb-8726-cfb28763f47f))
(fp_line (start 4.545455 2.727273) (end 4.545455 4.545455)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 42bedc43-d479-4063-80fc-3085872934f5))
(fp_line (start 4.545455 4.545455) (end 2.727273 4.545455)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 439a3348-c383-4d95-a8f9-2bb70a946c04))
(fp_line (start 4.545455 5.454545) (end 4.545455 7.272727)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 2cee6d9c-ac03-49dc-be07-2bcf66460fbb))
(fp_line (start 4.545455 8.863636) (end 4.545455 9.772727)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 6912e4fe-b047-4b82-ae80-62c01c8f9fe7))
(fp_line (start 5.454545 0.227273) (end 5.454545 1.136364)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 7703f1ba-e46a-4a22-bb2f-68089060fb9f))
(fp_line (start 5.454545 2.727273) (end 5.454545 3.636364)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 1bfa6d9d-d5be-4231-9e32-de69eb29616d))
(fp_line (start 5.454545 3.636364) (end 7.272727 3.636364)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 5e24808f-6fe9-4f5e-be4a-5257e037436d))
(fp_line (start 5.454545 5.454545) (end 5.454545 7.272727)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 266546c0-95e5-4c42-b5f2-1ebef8081296))
(fp_line (start 5.454545 7.272727) (end 6.363636 6.363636)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 27fed0e3-d710-414c-8876-bcda16474cd6))
(fp_line (start 5.454545 8.863636) (end 5.454545 9.772727)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 2fd2c74a-9cee-41bf-ab07-2f6dbcdfb530))
(fp_line (start 6.363636 0.227273) (end 6.363636 1.136364)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 7e3537cb-1f12-4d7a-8a7c-6c0ba93c83a6))
(fp_line (start 6.363636 6.363636) (end 7.272727 7.272727)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 1ea84db1-9a00-4f0b-932f-88cb265777d8))
(fp_line (start 6.363636 8.863636) (end 6.363636 9.772727)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 1b6a763d-fa68-460a-bb74-fe2d1979986e))
(fp_line (start 7.272727 0.227273) (end 7.272727 1.136364)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp d3c46e73-1277-4318-bd60-f47d949955c0))
(fp_line (start 7.272727 2.727273) (end 5.454545 2.727273)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 55524e30-da28-4e0a-b99a-874a07ac8665))
(fp_line (start 7.272727 3.636364) (end 7.272727 4.545455)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 1f704763-7876-43fc-9b58-7df9eb08a8c7))
(fp_line (start 7.272727 4.545455) (end 5.454545 4.545455)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 99fe0b6f-fbaa-4396-b936-94df92d954c9))
(fp_line (start 7.272727 7.272727) (end 7.272727 5.454545)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 5fe0a731-09eb-4552-894d-ce66a4b20f44))
(fp_line (start 7.272727 7.954545) (end 2.727273 7.954545)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 96b6d8e9-8432-4296-b8dc-eae193724f12))
(fp_line (start 7.272727 8.863636) (end 7.272727 9.772727)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 917e4222-c0ec-42b4-8283-82d487b43065))
(fp_line (start 7.954545 2.727273) (end 7.954545 7.272727)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 2a228754-cd2b-4ec1-b246-ae9faac94b4e))
(fp_line (start 8.863636 2.727273) (end 9.772727 2.727273)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp dda9279c-32bf-4af2-a181-51a20f06367d))
(fp_line (start 8.863636 3.636364) (end 9.772727 3.636364)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 01c44f0b-8a1a-4097-a3e8-da2b8a6fafc2))
(fp_line (start 8.863636 4.545455) (end 9.772727 4.545455)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 7ee52e93-f64f-44cf-8319-09f234ffb232))
(fp_line (start 8.863636 5.454545) (end 9.772727 5.454545)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 8b963755-34d1-4685-8768-4d35879bfdd3))
(fp_line (start 8.863636 6.363636) (end 9.772727 6.363636)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp 016a2cb9-4d9d-4638-9b2f-4d4539134782))
(fp_line (start 8.863636 7.272727) (end 9.772727 7.272727)
(stroke (width 0.454544) (type solid)) (layer "F.SilkS") (tstamp c9d7e7dc-83e3-41a3-b4b8-7bbe7ec3ba0b))
(fp_arc (start 2.045455 2.727273) (mid 2.245155 2.245155) (end 2.727273 2.045455)
(stroke (width 0.454544) (type default)) (layer "F.SilkS") (tstamp 352c8c7e-a645-4e6b-957c-581d258f7072))
(fp_arc (start 2.727273 7.954545) (mid 2.245155 7.754845) (end 2.045455 7.272727)
(stroke (width 0.454544) (type default)) (layer "F.SilkS") (tstamp d61707d9-f0ce-4c73-a75b-dbb5c6c08527))
(fp_arc (start 7.272727 2.045455) (mid 7.754845 2.245155) (end 7.954545 2.727273)
(stroke (width 0.454544) (type default)) (layer "F.SilkS") (tstamp c62b2b67-4806-40ac-9a3b-3edbf54a50dc))
(fp_arc (start 7.954545 7.272727) (mid 7.754845 7.754845) (end 7.272727 7.954545)
(stroke (width 0.454544) (type default)) (layer "F.SilkS") (tstamp 81dbe8ea-ff8b-42c9-9f0f-22512b1fa034))
)

View File

@ -0,0 +1,599 @@
(footprint "bunny" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "design year indication")
(attr board_only exclude_from_pos_files exclude_from_bom allow_missing_courtyard)
(fp_text reference "REF**" (at 0 -0.5 unlocked) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.1)))
(tstamp 4e2c9664-f6ac-467e-880e-cb2256b0c6f7)
)
(fp_text value "bunny" (at 0 1 unlocked) (layer "F.Fab") hide
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 3d70715b-682b-4e83-9646-7a633830e583)
)
(fp_circle (center 4.78 7) (end 4.98 7)
(stroke (width 0.3) (type solid)) (fill solid) (layer "F.SilkS") (tstamp e8858466-1e07-465c-a413-8d5e1fa2b5d7))
(fp_circle (center 5 5) (end 9.85 5)
(stroke (width 0.3) (type default)) (fill none) (layer "F.SilkS") (tstamp ce22406d-653e-49a2-ab89-a1574fd0210e))
(fp_poly
(pts
(xy 5.113732 0.154639)
(xy 5.227432 0.158689)
(xy 5.340974 0.165404)
(xy 5.454311 0.17478)
(xy 5.567397 0.186813)
(xy 5.680185 0.201498)
(xy 5.792629 0.218831)
(xy 5.90468 0.238808)
(xy 5.953565 0.290192)
(xy 5.9989 0.344453)
(xy 6.040782 0.40138)
(xy 6.079306 0.460763)
(xy 6.114569 0.522392)
(xy 6.146669 0.586057)
(xy 6.1757 0.651546)
(xy 6.20176 0.71865)
(xy 6.224945 0.787158)
(xy 6.245351 0.85686)
(xy 6.263075 0.927546)
(xy 6.278214 0.999005)
(xy 6.290863 1.071026)
(xy 6.301119 1.1434)
(xy 6.309079 1.215917)
(xy 6.314839 1.288365)
(xy 6.568193 1.158874)
(xy 6.69811 1.097596)
(xy 6.76626 1.068728)
(xy 6.837446 1.041383)
(xy 6.912349 1.015821)
(xy 6.991649 0.992299)
(xy 7.076027 0.971075)
(xy 7.166164 0.952408)
(xy 7.262741 0.936555)
(xy 7.366437 0.923775)
(xy 7.477934 0.914326)
(xy 7.597913 0.908465)
(xy 7.598072 0.908465)
(xy 7.726863 0.993178)
(xy 7.852674 1.081782)
(xy 7.975426 1.174193)
(xy 8.095039 1.270327)
(xy 8.211436 1.370099)
(xy 8.324536 1.473426)
(xy 8.434262 1.580222)
(xy 8.540534 1.690403)
(xy 8.643274 1.803885)
(xy 8.742403 1.920584)
(xy 8.837842 2.040415)
(xy 8.929512 2.163294)
(xy 9.017334 2.289137)
(xy 9.10123 2.417859)
(xy 9.18112 2.549376)
(xy 9.256927 2.683603)
(xy 9.252255 2.697155)
(xy 9.247267 2.724609)
(xy 9.232344 2.817013)
(xy 9.20415 2.952399)
(xy 9.182576 3.03358)
(xy 9.154681 3.122351)
(xy 9.119467 3.217659)
(xy 9.075932 3.318454)
(xy 9.023075 3.423682)
(xy 8.959895 3.532292)
(xy 8.885393 3.643231)
(xy 8.798568 3.755449)
(xy 8.750221 3.811708)
(xy 8.698417 3.867892)
(xy 8.643033 3.92387)
(xy 8.583942 3.97951)
(xy 8.421576 4.122942)
(xy 8.247701 4.269283)
(xy 8.06535 4.416854)
(xy 7.877559 4.563977)
(xy 7.497784 4.850168)
(xy 7.132643 5.114429)
(xy 6.806402 5.343335)
(xy 6.543329 5.523462)
(xy 6.30375 5.683673)
(xy 6.327017 5.69784)
(xy 6.388382 5.741399)
(xy 6.429397 5.774697)
(xy 6.475193 5.81594)
(xy 6.524186 5.865325)
(xy 6.574797 5.923052)
(xy 6.625442 5.989318)
(xy 6.674542 6.064324)
(xy 6.720513 6.148266)
(xy 6.741831 6.193651)
(xy 6.761774 6.241345)
(xy 6.780145 6.291372)
(xy 6.796745 6.343758)
(xy 6.811377 6.398527)
(xy 6.823842 6.455704)
(xy 6.833945 6.515315)
(xy 6.841486 6.577383)
(xy 6.846268 6.641933)
(xy 6.848094 6.708992)
(xy 6.846084 6.770754)
(xy 6.839734 6.831766)
(xy 6.829335 6.89195)
(xy 6.815174 6.951226)
(xy 6.79754 7.009514)
(xy 6.776722 7.066734)
(xy 6.753009 7.122808)
(xy 6.726689 7.177656)
(xy 6.698051 7.231199)
(xy 6.667384 7.283356)
(xy 6.634977 7.334049)
(xy 6.601118 7.383199)
(xy 6.566095 7.430725)
(xy 6.530199 7.476549)
(xy 6.456939 7.56277)
(xy 6.383647 7.641228)
(xy 6.312632 7.711286)
(xy 6.246206 7.77231)
(xy 6.186677 7.823664)
(xy 6.097553 7.894818)
(xy 6.063738 7.919666)
(xy 6.092756 8.025932)
(xy 6.121452 8.143235)
(xy 6.152869 8.289514)
(xy 6.181958 8.454417)
(xy 6.194051 8.540617)
(xy 6.203666 8.627591)
(xy 6.210174 8.714044)
(xy 6.212942 8.798683)
(xy 6.21134 8.880213)
(xy 6.204735 8.95734)
(xy 6.197511 9.002197)
(xy 6.186949 9.04921)
(xy 6.173328 9.098088)
(xy 6.156927 9.148535)
(xy 6.138025 9.200258)
(xy 6.116899 9.252963)
(xy 6.093828 9.306357)
(xy 6.069091 9.360146)
(xy 6.015733 9.467733)
(xy 5.959052 9.573374)
(xy 5.901278 9.674719)
(xy 5.844638 9.769419)
(xy 5.739923 9.78717)
(xy 5.634882 9.802617)
(xy 5.529553 9.815757)
(xy 5.423975 9.826586)
(xy 5.318184 9.835101)
(xy 5.21222 9.841299)
(xy 5.10612 9.845176)
(xy 4.999922 9.846729)
(xy 4.934289 9.845608)
(xy 4.868681 9.843597)
(xy 4.803106 9.840696)
(xy 4.737573 9.836909)
(xy 4.762317 9.814809)
(xy 4.818009 9.76035)
(xy 4.894809 9.676091)
(xy 4.938049 9.623586)
(xy 4.982876 9.564589)
(xy 5.02806 9.499421)
(xy 5.072371 9.428402)
(xy 5.114579 9.35185)
(xy 5.153454 9.270086)
(xy 5.187766 9.183429)
(xy 5.202827 9.138365)
(xy 5.216285 9.092199)
(xy 5.227988 9.044969)
(xy 5.237781 8.996715)
(xy 5.245511 8.947478)
(xy 5.251024 8.897298)
(xy 5.254462 8.846135)
(xy 5.255993 8.795777)
(xy 5.255735 8.746278)
(xy 5.253805 8.697691)
(xy 5.250321 8.65007)
(xy 5.245402 8.60347)
(xy 5.239166 8.557943)
(xy 5.23173 8.513544)
(xy 5.223211 8.470325)
(xy 5.213729 8.428342)
(xy 5.203401 8.387647)
(xy 5.192345 8.348294)
(xy 5.180679 8.310337)
(xy 5.16852 8.27383)
(xy 5.143198 8.20538)
(xy 5.117322 8.143374)
(xy 5.091835 8.08824)
(xy 5.067681 8.04041)
(xy 5.045804 8.000312)
(xy 5.027146 7.968377)
(xy 5.01265 7.945034)
(xy 4.999922 7.925844)
(xy 5.038706 7.903891)
(xy 5.14041 7.839058)
(xy 5.20799 7.791042)
(xy 5.28306 7.732884)
(xy 5.362874 7.664776)
(xy 5.444685 7.58691)
(xy 5.525746 7.499479)
(xy 5.60331 7.402675)
(xy 5.639923 7.350819)
(xy 5.674632 7.296691)
(xy 5.707094 7.240316)
(xy 5.736965 7.181719)
(xy 5.763902 7.120922)
(xy 5.787562 7.057951)
(xy 5.8076 6.992829)
(xy 5.823675 6.92558)
(xy 5.835443 6.856229)
(xy 5.84256 6.784799)
(xy 5.844683 6.711315)
(xy 5.841469 6.6358)
(xy 5.827899 6.569201)
(xy 5.81192 6.506317)
(xy 5.793647 6.447063)
(xy 5.773194 6.391353)
(xy 5.750673 6.339101)
(xy 5.726199 6.290222)
(xy 5.699886 6.244631)
(xy 5.671847 6.202242)
(xy 5.642196 6.162971)
(xy 5.611046 6.126731)
(xy 5.578512 6.093436)
(xy 5.544707 6.063003)
(xy 5.509745 6.035345)
(xy 5.47374 6.010376)
(xy 5.436804 5.988012)
(xy 5.399053 5.968167)
(xy 5.3606 5.950756)
(xy 5.321558 5.935693)
(xy 5.282041 5.922892)
(xy 5.242163 5.912269)
(xy 5.202038 5.903737)
(xy 5.161779 5.897212)
(xy 5.081316 5.88984)
(xy 5.001682 5.889469)
(xy 4.923789 5.895415)
(xy 4.848545 5.906996)
(xy 4.776862 5.923527)
(xy 4.857144 5.839463)
(xy 4.950211 5.744843)
(xy 5.072087 5.624462)
(xy 5.217914 5.485292)
(xy 5.382835 5.334308)
(xy 5.561994 5.178483)
(xy 5.655395 5.100933)
(xy 5.750534 5.024788)
(xy 5.855872 4.945438)
(xy 5.978517 4.85841)
(xy 6.265196 4.665638)
(xy 6.930355 4.235431)
(xy 7.26669 4.015257)
(xy 7.577433 3.80321)
(xy 7.716623 3.70293)
(xy 7.841512 3.607919)
(xy 7.949468 3.519255)
(xy 8.037855 3.438016)
(xy 8.195127 3.275772)
(xy 8.272687 3.188798)
(xy 8.347361 3.097997)
(xy 8.417521 3.003422)
(xy 8.48154 2.905129)
(xy 8.537791 2.803172)
(xy 8.562494 2.750837)
(xy 8.584646 2.697606)
(xy 8.604042 2.643487)
(xy 8.620479 2.588486)
(xy 8.633753 2.532611)
(xy 8.643662 2.475867)
(xy 8.650001 2.418263)
(xy 8.652568 2.359804)
(xy 8.651159 2.300497)
(xy 8.64557 2.24035)
(xy 8.635599 2.179369)
(xy 8.621041 2.117561)
(xy 8.601694 2.054934)
(xy 8.577353 1.991493)
(xy 8.547816 1.927245)
(xy 8.512879 1.862198)
(xy 8.47234 1.796359)
(xy 8.425993 1.729733)
(xy 8.392412 1.687771)
(xy 8.356321 1.649522)
(xy 8.31786 1.614857)
(xy 8.277171 1.583648)
(xy 8.234397 1.555765)
(xy 8.189679 1.531082)
(xy 8.143159 1.509468)
(xy 8.094978 1.490797)
(xy 8.045279 1.474938)
(xy 7.994203 1.461763)
(xy 7.941891 1.451145)
(xy 7.888487 1.442954)
(xy 7.778964 1.43334)
(xy 7.66677 1.431893)
(xy 7.553038 1.437586)
(xy 7.438902 1.449389)
(xy 7.325496 1.466275)
(xy 7.213955 1.487215)
(xy 7.105413 1.511182)
(xy 7.001004 1.537146)
(xy 6.809121 1.590954)
(xy 6.7041 1.6274)
(xy 6.597823 1.674272)
(xy 6.490586 1.730875)
(xy 6.382689 1.796513)
(xy 6.274427 1.870491)
(xy 6.166099 1.952113)
(xy 6.058002 2.040685)
(xy 5.950432 2.13551)
(xy 5.843688 2.235892)
(xy 5.738066 2.341138)
(xy 5.633864 2.450551)
(xy 5.53138 2.563435)
(xy 5.430911 2.679095)
(xy 5.332753 2.796836)
(xy 5.237205 2.915963)
(xy 5.144563 3.035779)
(xy 5.207706 2.921908)
(xy 5.266335 2.811812)
(xy 5.320632 2.705028)
(xy 5.37078 2.601092)
(xy 5.416962 2.49954)
(xy 5.459359 2.399909)
(xy 5.498155 2.301737)
(xy 5.533531 2.204559)
(xy 5.565671 2.107913)
(xy 5.594758 2.011334)
(xy 5.620972 1.91436)
(xy 5.644498 1.816527)
(xy 5.665518 1.717372)
(xy 5.684214 1.616432)
(xy 5.700768 1.513243)
(xy 5.715364 1.407341)
(xy 5.725077 1.301384)
(xy 5.727139 1.195246)
(xy 5.725198 1.142467)
(xy 5.721224 1.090073)
(xy 5.715174 1.038208)
(xy 5.70701 0.987015)
(xy 5.696689 0.936638)
(xy 5.684172 0.88722)
(xy 5.669418 0.838905)
(xy 5.652387 0.791837)
(xy 5.633037 0.746159)
(xy 5.61133 0.702014)
(xy 5.587224 0.659546)
(xy 5.560679 0.6189)
(xy 5.531654 0.580217)
(xy 5.500109 0.543643)
(xy 5.466003 0.50932)
(xy 5.429296 0.477391)
(xy 5.389947 0.448002)
(xy 5.347916 0.421294)
(xy 5.303163 0.397413)
(xy 5.255647 0.3765)
(xy 5.205327 0.3587)
(xy 5.152163 0.344157)
(xy 5.096115 0.333014)
(xy 5.037142 0.325414)
(xy 4.975203 0.321501)
(xy 4.910258 0.321419)
(xy 4.842268 0.325311)
(xy 4.77119 0.33332)
(xy 4.672929 0.354911)
(xy 4.567502 0.393215)
(xy 4.456101 0.447923)
(xy 4.339916 0.518725)
(xy 4.220139 0.605313)
(xy 4.097962 0.707378)
(xy 3.974577 0.82461)
(xy 3.851174 0.956701)
(xy 3.728946 1.103341)
(xy 3.609084 1.264221)
(xy 3.492779 1.439033)
(xy 3.381223 1.627466)
(xy 3.275607 1.829212)
(xy 3.177124 2.043963)
(xy 3.086963 2.271407)
(xy 3.006318 2.511238)
(xy 2.936684 2.747302)
(xy 2.877243 2.968811)
(xy 2.827494 3.177704)
(xy 2.786939 3.375921)
(xy 2.755075 3.565399)
(xy 2.731404 3.748077)
(xy 2.715425 3.925895)
(xy 2.706638 4.10079)
(xy 2.704542 4.274703)
(xy 2.708638 4.449572)
(xy 2.718425 4.627336)
(xy 2.733403 4.809933)
(xy 2.776931 5.197382)
(xy 2.835221 5.627432)
(xy 2.851752 5.738163)
(xy 2.869452 5.844603)
(xy 2.888209 5.946803)
(xy 2.907913 6.044814)
(xy 2.928452 6.138687)
(xy 2.949716 6.228472)
(xy 2.971593 6.314221)
(xy 2.993973 6.395984)
(xy 3.016743 6.473813)
(xy 3.039794 6.547757)
(xy 3.063014 6.617869)
(xy 3.086292 6.684198)
(xy 3.109518 6.746796)
(xy 3.132579 6.805713)
(xy 3.155365 6.861001)
(xy 3.177765 6.91271)
(xy 3.220962 7.005595)
(xy 3.261282 7.084775)
(xy 3.297837 7.150658)
(xy 3.329737 7.203649)
(xy 3.356095 7.244156)
(xy 3.376023 7.272586)
(xy 3.39303 7.294842)
(xy 3.331011 7.275903)
(xy 3.268863 7.260769)
(xy 3.206641 7.249486)
(xy 3.144401 7.242098)
(xy 3.082197 7.238649)
(xy 3.020085 7.239186)
(xy 2.958118 7.243751)
(xy 2.896352 7.252391)
(xy 2.834842 7.265149)
(xy 2.773642 7.282071)
(xy 2.712807 7.303201)
(xy 2.652393 7.328584)
(xy 2.592453 7.358266)
(xy 2.533043 7.39229)
(xy 2.474217 7.430701)
(xy 2.416031 7.473544)
(xy 2.426299 7.442973)
(xy 2.436572 7.407906)
(xy 2.447994 7.362449)
(xy 2.458856 7.3087)
(xy 2.463544 7.279371)
(xy 2.467451 7.248755)
(xy 2.470364 7.217116)
(xy 2.472069 7.184714)
(xy 2.472354 7.151813)
(xy 2.471004 7.118675)
(xy 2.468018 7.081971)
(xy 2.463146 7.038935)
(xy 2.4558 6.990672)
(xy 2.445395 6.938284)
(xy 2.431343 6.882875)
(xy 2.413059 6.825551)
(xy 2.389955 6.767414)
(xy 2.376412 6.738386)
(xy 2.361445 6.709568)
(xy 2.344979 6.6811)
(xy 2.326942 6.653118)
(xy 2.30726 6.625761)
(xy 2.285861 6.599167)
(xy 2.262669 6.573474)
(xy 2.237613 6.54882)
(xy 2.210619 6.525342)
(xy 2.181614 6.503179)
(xy 2.150523 6.482469)
(xy 2.117275 6.46335)
(xy 2.081795 6.44596)
(xy 2.044011 6.430436)
(xy 2.003849 6.416917)
(xy 1.961235 6.40554)
(xy 1.916097 6.396445)
(xy 1.86836 6.389768)
(xy 1.814054 6.385643)
(xy 1.760939 6.385295)
(xy 1.70902 6.388557)
(xy 1.658302 6.395266)
(xy 1.608789 6.405255)
(xy 1.560486 6.41836)
(xy 1.513397 6.434416)
(xy 1.467527 6.453258)
(xy 1.422881 6.474721)
(xy 1.379462 6.49864)
(xy 1.337276 6.524849)
(xy 1.296326 6.553185)
(xy 1.256618 6.583482)
(xy 1.218156 6.615574)
(xy 1.144987 6.684488)
(xy 1.076856 6.758605)
(xy 1.013799 6.836607)
(xy 0.955851 6.917173)
(xy 0.90305 6.998983)
(xy 0.855431 7.080717)
(xy 0.81303 7.161057)
(xy 0.775883 7.23868)
(xy 0.744027 7.312269)
(xy 0.692994 7.215958)
(xy 0.644157 7.118562)
(xy 0.597534 7.020117)
(xy 0.55314 6.920662)
(xy 0.510992 6.820234)
(xy 0.471106 6.718872)
(xy 0.4335 6.616614)
(xy 0.398188 6.513496)
(xy 0.424089 6.448764)
(xy 0.454286 6.381698)
(xy 0.489092 6.313013)
(xy 0.528823 6.243424)
(xy 0.573792 6.173644)
(xy 0.624314 6.104389)
(xy 0.680704 6.036372)
(xy 0.743276 5.970308)
(xy 0.812345 5.90691)
(xy 0.888224 5.846894)
(xy 0.971229 5.790974)
(xy 1.015502 5.764773)
(xy 1.061675 5.739863)
(xy 1.109785 5.716335)
(xy 1.159874 5.694277)
(xy 1.21198 5.673779)
(xy 1.266143 5.65493)
(xy 1.322401 5.637818)
(xy 1.380794 5.622535)
(xy 1.441362 5.609168)
(xy 1.504144 5.597807)
(xy 1.553203 5.59106)
(xy 1.600997 5.586782)
(xy 1.647506 5.584824)
(xy 1.692711 5.585038)
(xy 1.736593 5.587278)
(xy 1.779133 5.591395)
(xy 1.820312 5.59724)
(xy 1.860111 5.604668)
(xy 1.89851 5.613529)
(xy 1.935491 5.623675)
(xy 1.971034 5.63496)
(xy 2.005119 5.647234)
(xy 2.037729 5.660351)
(xy 2.068844 5.674162)
(xy 2.098444 5.68852)
(xy 2.126511 5.703277)
(xy 2.153025 5.718284)
(xy 2.177967 5.733395)
(xy 2.201318 5.748461)
(xy 2.223059 5.763335)
(xy 2.261634 5.791913)
(xy 2.293539 5.817946)
(xy 2.318621 5.840254)
(xy 2.336726 5.857652)
(xy 2.351394 5.872989)
(xy 2.306607 5.587133)
(xy 2.264785 5.270245)
(xy 2.2226 4.873322)
(xy 2.189495 4.423319)
(xy 2.179297 4.186836)
(xy 2.17491 3.94719)
(xy 2.177514 3.707752)
(xy 2.188289 3.47189)
(xy 2.208415 3.242973)
(xy 2.239072 3.024372)
(xy 2.277221 2.816213)
(xy 2.319386 2.615833)
(xy 2.366035 2.422758)
(xy 2.417633 2.236518)
(xy 2.474647 2.05664)
(xy 2.537545 1.882652)
(xy 2.606794 1.714081)
(xy 2.682859 1.550457)
(xy 2.766207 1.391305)
(xy 2.857307 1.236156)
(xy 2.956623 1.084536)
(xy 3.064624 0.935973)
(xy 3.181775 0.789995)
(xy 3.308544 0.646131)
(xy 3.445398 0.503908)
(xy 3.592803 0.362853)
(xy 3.764682 0.314037)
(xy 3.938065 0.27163)
(xy 4.112775 0.235657)
(xy 4.28863 0.206146)
(xy 4.465452 0.183125)
(xy 4.643061 0.166618)
(xy 4.821278 0.156654)
(xy 4.999922 0.153259)
)
(stroke (width 0.030656) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 3dfa6f02-7e21-4feb-9fef-4f78bfeaddc9))
)

73
kicad/logo/bunny.svg Normal file

File diff suppressed because one or more lines are too long

After

Width:  |  Height:  |  Size: 38 KiB

186
kicad/logo/dragon.svg Normal file

File diff suppressed because one or more lines are too long

After

Width:  |  Height:  |  Size: 43 KiB

4194
kicad/partdb.kicad_dbl Normal file

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,35 @@
#VRML V2.0 utf8
Shape {
appearance Appearance {
material Material {
diffuseColor 0.37 0.37 0.37
emissiveColor 0.0 0.0 0.0
specularColor 1.0 1.0 1.0
ambientIntensity 1.0
transparency 0.5
shininess 1.0
}
}
geometry IndexedFaceSet {
coord Coordinate {
point [
-0.4 -0.8 0,
0.4 -0.8 0,
0.4 0.8 0,
-0.4 0.8 0,
-0.4 -0.8 0.92,
0.4 -0.8 0.92,
0.4 0.8 0.92,
-0.4 0.8 0.92
]
}
coordIndex [
0,1,2,3,-1
4,5,6,7,-1
0,1,5,4,-1
2,3,7,6,-1
0,3,7,4,-1
1,2,6,5,-1
]
}
}

View File

@ -0,0 +1,35 @@
#VRML V2.0 utf8
Shape {
appearance Appearance {
material Material {
diffuseColor 0.37 0.37 0.37
emissiveColor 0.0 0.0 0.0
specularColor 1.0 1.0 1.0
ambientIntensity 1.0
transparency 0.5
shininess 1.0
}
}
geometry IndexedFaceSet {
coord Coordinate {
point [
-0.6 -1 0,
0.6 -1 0,
0.6 1 0,
-0.6 1 0,
-0.6 -1 0.8,
0.6 -1 0.8,
0.6 1 0.8,
-0.6 1 0.8
]
}
coordIndex [
0,1,2,3,-1
4,5,6,7,-1
0,1,5,4,-1
2,3,7,6,-1
0,3,7,4,-1
1,2,6,5,-1
]
}
}

View File

@ -0,0 +1,35 @@
#VRML V2.0 utf8
Shape {
appearance Appearance {
material Material {
diffuseColor 0.37 0.37 0.37
emissiveColor 0.0 0.0 0.0
specularColor 1.0 1.0 1.0
ambientIntensity 1.0
transparency 0.5
shininess 1.0
}
}
geometry IndexedFaceSet {
coord Coordinate {
point [
-0.4 -0.8 0,
0.4 -0.8 0,
0.4 0.8 0,
-0.4 0.8 0,
-0.4 -0.8 0.55,
0.4 -0.8 0.55,
0.4 0.8 0.55,
-0.4 0.8 0.55
]
}
coordIndex [
0,1,2,3,-1
4,5,6,7,-1
0,1,5,4,-1
2,3,7,6,-1
0,3,7,4,-1
1,2,6,5,-1
]
}
}

View File

@ -0,0 +1,35 @@
#VRML V2.0 utf8
Shape {
appearance Appearance {
material Material {
diffuseColor 0.37 0.37 0.37
emissiveColor 0.0 0.0 0.0
specularColor 1.0 1.0 1.0
ambientIntensity 1.0
transparency 0.5
shininess 1.0
}
}
geometry IndexedFaceSet {
coord Coordinate {
point [
-0.6 -1 0,
0.6 -1 0,
0.6 1 0,
-0.6 1 0,
-0.6 -1 0.8,
0.6 -1 0.8,
0.6 1 0.8,
-0.6 1 0.8
]
}
coordIndex [
0,1,2,3,-1
4,5,6,7,-1
0,1,5,4,-1
2,3,7,6,-1
0,3,7,4,-1
1,2,6,5,-1
]
}
}

142
kicad/qeda.kicad_sym Normal file
View File

@ -0,0 +1,142 @@
(kicad_symbol_lib (version 20211014) (generator qeda)
(symbol "R0603" (pin_numbers hide) (pin_names hide) (in_bom yes) (on_board yes)
(property "Reference" "R"
(id 0)
(at 0.000 1.778 0)
(effects (font (size 1.27 1.27)) (justify bottom))
)
(property "Value" "R0603"
(id 1)
(at 0.000 -1.778 0)
(effects (font (size 1.27 1.27)) (justify top))
)
(property "Footprint" "qeda:UC1608X55N"
(id 2)
(at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "resistor, chip, 1.6x0.8 mm"
(id 3)
(at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(rectangle (start -2.540 1.016) (end 2.540 -1.016) (stroke (width 0.305) (type default) (color 0 0 0 0)) (fill (type background)))
(pin passive line
(at -3.810 0.000 0)
(length 1.270)
(name "L"
(effects (font (size 1.27 1.27)))
)
(number "1"
(effects (font (size 1.27 1.27)))
)
)
(pin passive line
(at 3.810 0.000 180)
(length 1.270)
(name "R"
(effects (font (size 1.27 1.27)))
)
(number "2"
(effects (font (size 1.27 1.27)))
)
)
)
(symbol "C0603" (pin_numbers hide) (pin_names hide) (in_bom yes) (on_board yes)
(property "Reference" "C"
(id 0)
(at 0.000 2.794 0)
(effects (font (size 1.27 1.27)) (justify bottom))
)
(property "Value" "C0603"
(id 1)
(at 0.000 -2.794 0)
(effects (font (size 1.27 1.27)) (justify top))
)
(property "Footprint" "qeda:CAPC1608X92N"
(id 2)
(at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Chip capacitor 1.6x0.8 mm"
(id 3)
(at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "Capacitor"
(id 4)
(at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(polyline (pts (xy -0.381 2.032) (xy -0.381 -2.032)) (stroke (width 0.305) (type default) (color 0 0 0 0)) (fill (type none)))
(polyline (pts (xy 0.381 2.032) (xy 0.381 -2.032)) (stroke (width 0.305) (type default) (color 0 0 0 0)) (fill (type none)))
(pin passive line
(at -2.540 0.000 0)
(length 2.159)
(name "L"
(effects (font (size 1.27 1.27)))
)
(number "1"
(effects (font (size 1.27 1.27)))
)
)
(pin passive line
(at 2.540 0.000 180)
(length 2.159)
(name "R"
(effects (font (size 1.27 1.27)))
)
(number "2"
(effects (font (size 1.27 1.27)))
)
)
)
(symbol "LED0805" (pin_numbers hide) (pin_names hide) (in_bom yes) (on_board yes)
(property "Reference" "D"
(id 0)
(at 0.000 2.032 0)
(effects (font (size 1.27 1.27)) (justify bottom))
)
(property "Value" "LED0805"
(id 1)
(at 0.000 -2.032 0)
(effects (font (size 1.27 1.27)) (justify top))
)
(property "Footprint" "qeda:UPC2012X80N"
(id 2)
(at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "diode, LED, chip, 2.0x1.2 mm"
(id 3)
(at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(polyline (pts (xy -1.016 1.270) (xy 1.016 0.000) (xy -1.016 -1.270) (xy -1.016 1.270)) (stroke (width 0.305) (type default) (color 0 0 0 0)) (fill (type background)))
(polyline (pts (xy 1.016 1.270) (xy 1.016 -1.270)) (stroke (width 0.305) (type default) (color 0 0 0 0)) (fill (type none)))
(polyline (pts (xy 1.270 1.905) (xy 2.540 3.175)) (stroke (width 0.305) (type default) (color 0 0 0 0)) (fill (type none)))
(polyline (pts (xy 1.725 2.720) (xy 2.540 3.175) (xy 2.085 2.360) (xy 1.725 2.720)) (stroke (width 0.305) (type default) (color 0 0 0 0)) (fill (type outline)))
(polyline (pts (xy 1.905 1.270) (xy 3.175 2.540)) (stroke (width 0.305) (type default) (color 0 0 0 0)) (fill (type none)))
(polyline (pts (xy 2.360 2.085) (xy 3.175 2.540) (xy 2.720 1.725) (xy 2.360 2.085)) (stroke (width 0.305) (type default) (color 0 0 0 0)) (fill (type outline)))
(pin passive line
(at -2.540 0.000 0)
(length 1.524)
(name "A"
(effects (font (size 1.27 1.27)))
)
(number "2"
(effects (font (size 1.27 1.27)))
)
)
(pin passive line
(at 2.540 0.000 180)
(length 1.524)
(name "C"
(effects (font (size 1.27 1.27)))
)
(number "1"
(effects (font (size 1.27 1.27)))
)
)
)
)

View File

@ -0,0 +1,38 @@
(module CAPC1608X92N (layer F.Cu)
(attr smd)
(pad 1 smd rect (at 0.000 -0.750) (size 1.250 1.100) (layers F.Cu F.Mask F.Paste)
(solder_mask_margin 0.050))
(pad 2 smd rect (at 0.000 0.750) (size 1.250 1.100) (layers F.Cu F.Mask F.Paste)
(solder_mask_margin 0.050))
(fp_text reference REF** (at 0.000 0.000) (layer F.SilkS)
(effects (font (size 1.200 1.200) (thickness 0.200)))
)
(fp_line (start -0.925 -0.900) (end -0.925 0.900) (layer F.SilkS) (width 0.200))
(fp_line (start 0.925 -0.900) (end 0.925 0.900) (layer F.SilkS) (width 0.200))
(fp_circle (center 0.000 0.000) (end 0.000 0.500) (layer F.Fab) (width 0.100))
(fp_line (start -0.700 0.000) (end 0.700 0.000) (layer F.Fab) (width 0.100))
(fp_line (start 0.000 -0.700) (end 0.000 0.700) (layer F.Fab) (width 0.100))
(fp_text value CAPC1608X92N (at 0.000 0.000 90) (layer F.Fab)
(effects (font (size 0.528 0.528) (thickness 0.100)))
)
(fp_text user REF** (at 0.000 0.000 90) hide (layer F.Fab)
(effects (font (size 0.528 0.528) (thickness 0.100)))
)
(fp_line (start -0.400 -0.800) (end 0.400 -0.800) (layer F.Fab) (width 0.100))
(fp_line (start 0.400 -0.800) (end 0.400 0.800) (layer F.Fab) (width 0.100))
(fp_line (start 0.400 0.800) (end -0.400 0.800) (layer F.Fab) (width 0.100))
(fp_line (start -0.400 0.800) (end -0.400 -0.800) (layer F.Fab) (width 0.100))
(fp_line (start -0.875 -1.550) (end -0.875 -1.050) (layer F.CrtYd) (width 0.050))
(fp_line (start -0.875 -1.050) (end -0.875 1.050) (layer F.CrtYd) (width 0.050))
(fp_line (start -0.875 1.050) (end -0.875 1.550) (layer F.CrtYd) (width 0.050))
(fp_line (start -0.875 1.550) (end 0.875 1.550) (layer F.CrtYd) (width 0.050))
(fp_line (start 0.875 1.550) (end 0.875 1.050) (layer F.CrtYd) (width 0.050))
(fp_line (start 0.875 1.050) (end 0.875 -1.050) (layer F.CrtYd) (width 0.050))
(fp_line (start 0.875 -1.050) (end 0.875 -1.550) (layer F.CrtYd) (width 0.050))
(fp_line (start 0.875 -1.550) (end -0.875 -1.550) (layer F.CrtYd) (width 0.050))
(model CAPC1608X92N.wrl
(at (xyz 0 0 0))
(scale (xyz 0.3937 0.3937 0.3937))
(rotate (xyz 0 0 0 ))
)
)

View File

@ -0,0 +1,42 @@
(module LEDC2012X80N (layer F.Cu)
(attr smd)
(pad 1 smd rect (at 0.000 -0.900) (size 1.600 1.100) (layers F.Cu F.Mask F.Paste)
(solder_mask_margin 0.050))
(pad 2 smd rect (at 0.000 0.900) (size 1.600 1.100) (layers F.Cu F.Mask F.Paste)
(solder_mask_margin 0.050))
(fp_text reference REF** (at 0.000 0.000) (layer F.SilkS)
(effects (font (size 1.200 1.200) (thickness 0.200)))
)
(fp_line (start -1.100 -1.100) (end -1.100 1.100) (layer F.SilkS) (width 0.200))
(fp_line (start 1.100 -1.100) (end 1.100 1.100) (layer F.SilkS) (width 0.200))
(fp_line (start -1.100 -1.100) (end -1.100 -1.750) (layer F.SilkS) (width 0.200))
(fp_line (start -1.100 -1.750) (end 1.100 -1.750) (layer F.SilkS) (width 0.200))
(fp_line (start 1.100 -1.750) (end 1.100 -1.100) (layer F.SilkS) (width 0.200))
(fp_circle (center 0.000 0.000) (end 0.000 0.500) (layer F.Fab) (width 0.100))
(fp_line (start -0.700 0.000) (end 0.700 0.000) (layer F.Fab) (width 0.100))
(fp_line (start 0.000 -0.700) (end 0.000 0.700) (layer F.Fab) (width 0.100))
(fp_text value LEDC2012X80N (at 0.000 0.000 90) (layer F.Fab)
(effects (font (size 0.792 0.792) (thickness 0.100)))
)
(fp_text user REF** (at 0.000 0.000 90) hide (layer F.Fab)
(effects (font (size 0.792 0.792) (thickness 0.100)))
)
(fp_line (start 0.000 -1.000) (end 0.600 -1.000) (layer F.Fab) (width 0.100))
(fp_line (start 0.600 -1.000) (end 0.600 1.000) (layer F.Fab) (width 0.100))
(fp_line (start 0.600 1.000) (end -0.600 1.000) (layer F.Fab) (width 0.100))
(fp_line (start -0.600 1.000) (end -0.600 -0.400) (layer F.Fab) (width 0.100))
(fp_line (start -0.600 -0.400) (end 0.000 -1.000) (layer F.Fab) (width 0.100))
(fp_line (start -1.050 -1.700) (end -1.050 -1.250) (layer F.CrtYd) (width 0.050))
(fp_line (start -1.050 -1.250) (end -1.050 1.250) (layer F.CrtYd) (width 0.050))
(fp_line (start -1.050 1.250) (end -1.050 1.700) (layer F.CrtYd) (width 0.050))
(fp_line (start -1.050 1.700) (end 1.050 1.700) (layer F.CrtYd) (width 0.050))
(fp_line (start 1.050 1.700) (end 1.050 1.250) (layer F.CrtYd) (width 0.050))
(fp_line (start 1.050 1.250) (end 1.050 -1.250) (layer F.CrtYd) (width 0.050))
(fp_line (start 1.050 -1.250) (end 1.050 -1.700) (layer F.CrtYd) (width 0.050))
(fp_line (start 1.050 -1.700) (end -1.050 -1.700) (layer F.CrtYd) (width 0.050))
(model LEDC2012X80N.wrl
(at (xyz 0 0 0))
(scale (xyz 0.3937 0.3937 0.3937))
(rotate (xyz 0 0 0 ))
)
)

View File

@ -0,0 +1,38 @@
(module UC1608X55N (layer F.Cu)
(attr smd)
(pad 1 smd rect (at 0.000 -0.700) (size 1.100 0.750) (layers F.Cu F.Mask F.Paste)
(solder_mask_margin 0.050))
(pad 2 smd rect (at 0.000 0.700) (size 1.100 0.750) (layers F.Cu F.Mask F.Paste)
(solder_mask_margin 0.050))
(fp_text reference REF** (at 0.000 0.000) (layer F.SilkS)
(effects (font (size 1.200 1.200) (thickness 0.200)))
)
(fp_line (start -0.850 -0.900) (end -0.850 0.900) (layer F.SilkS) (width 0.200))
(fp_line (start 0.850 -0.900) (end 0.850 0.900) (layer F.SilkS) (width 0.200))
(fp_circle (center 0.000 0.000) (end 0.000 0.500) (layer F.Fab) (width 0.100))
(fp_line (start -0.700 0.000) (end 0.700 0.000) (layer F.Fab) (width 0.100))
(fp_line (start 0.000 -0.700) (end 0.000 0.700) (layer F.Fab) (width 0.100))
(fp_text value UC1608X55N (at 0.000 0.000 90) (layer F.Fab)
(effects (font (size 0.528 0.528) (thickness 0.100)))
)
(fp_text user REF** (at 0.000 0.000 90) hide (layer F.Fab)
(effects (font (size 0.528 0.528) (thickness 0.100)))
)
(fp_line (start -0.400 -0.800) (end 0.400 -0.800) (layer F.Fab) (width 0.100))
(fp_line (start 0.400 -0.800) (end 0.400 0.800) (layer F.Fab) (width 0.100))
(fp_line (start 0.400 0.800) (end -0.400 0.800) (layer F.Fab) (width 0.100))
(fp_line (start -0.400 0.800) (end -0.400 -0.800) (layer F.Fab) (width 0.100))
(fp_line (start -0.730 -1.255) (end -0.730 -0.980) (layer F.CrtYd) (width 0.050))
(fp_line (start -0.730 -0.980) (end -0.730 0.980) (layer F.CrtYd) (width 0.050))
(fp_line (start -0.730 0.980) (end -0.730 1.255) (layer F.CrtYd) (width 0.050))
(fp_line (start -0.730 1.255) (end 0.730 1.255) (layer F.CrtYd) (width 0.050))
(fp_line (start 0.730 1.255) (end 0.730 0.980) (layer F.CrtYd) (width 0.050))
(fp_line (start 0.730 0.980) (end 0.730 -0.980) (layer F.CrtYd) (width 0.050))
(fp_line (start 0.730 -0.980) (end 0.730 -1.255) (layer F.CrtYd) (width 0.050))
(fp_line (start 0.730 -1.255) (end -0.730 -1.255) (layer F.CrtYd) (width 0.050))
(model UC1608X55N.wrl
(at (xyz 0 0 0))
(scale (xyz 0.3937 0.3937 0.3937))
(rotate (xyz 0 0 0 ))
)
)

View File

@ -0,0 +1,42 @@
(module UPC2012X80N (layer F.Cu)
(attr smd)
(pad 1 smd rect (at 0.000 -0.900) (size 1.600 1.100) (layers F.Cu F.Mask F.Paste)
(solder_mask_margin 0.050))
(pad 2 smd rect (at 0.000 0.900) (size 1.600 1.100) (layers F.Cu F.Mask F.Paste)
(solder_mask_margin 0.050))
(fp_text reference REF** (at 0.000 0.000) (layer F.SilkS)
(effects (font (size 1.200 1.200) (thickness 0.200)))
)
(fp_line (start -1.100 -1.100) (end -1.100 1.100) (layer F.SilkS) (width 0.200))
(fp_line (start 1.100 -1.100) (end 1.100 1.100) (layer F.SilkS) (width 0.200))
(fp_line (start -1.100 -1.100) (end -1.100 -1.750) (layer F.SilkS) (width 0.200))
(fp_line (start -1.100 -1.750) (end 1.100 -1.750) (layer F.SilkS) (width 0.200))
(fp_line (start 1.100 -1.750) (end 1.100 -1.100) (layer F.SilkS) (width 0.200))
(fp_circle (center 0.000 0.000) (end 0.000 0.500) (layer F.Fab) (width 0.100))
(fp_line (start -0.700 0.000) (end 0.700 0.000) (layer F.Fab) (width 0.100))
(fp_line (start 0.000 -0.700) (end 0.000 0.700) (layer F.Fab) (width 0.100))
(fp_text value UPC2012X80N (at 0.000 0.000 90) (layer F.Fab)
(effects (font (size 0.792 0.792) (thickness 0.100)))
)
(fp_text user REF** (at 0.000 0.000 90) hide (layer F.Fab)
(effects (font (size 0.792 0.792) (thickness 0.100)))
)
(fp_line (start 0.000 -1.000) (end 0.600 -1.000) (layer F.Fab) (width 0.100))
(fp_line (start 0.600 -1.000) (end 0.600 1.000) (layer F.Fab) (width 0.100))
(fp_line (start 0.600 1.000) (end -0.600 1.000) (layer F.Fab) (width 0.100))
(fp_line (start -0.600 1.000) (end -0.600 -0.400) (layer F.Fab) (width 0.100))
(fp_line (start -0.600 -0.400) (end 0.000 -1.000) (layer F.Fab) (width 0.100))
(fp_line (start -1.050 -1.700) (end -1.050 -1.250) (layer F.CrtYd) (width 0.050))
(fp_line (start -1.050 -1.250) (end -1.050 1.250) (layer F.CrtYd) (width 0.050))
(fp_line (start -1.050 1.250) (end -1.050 1.700) (layer F.CrtYd) (width 0.050))
(fp_line (start -1.050 1.700) (end 1.050 1.700) (layer F.CrtYd) (width 0.050))
(fp_line (start 1.050 1.700) (end 1.050 1.250) (layer F.CrtYd) (width 0.050))
(fp_line (start 1.050 1.250) (end 1.050 -1.250) (layer F.CrtYd) (width 0.050))
(fp_line (start 1.050 -1.250) (end 1.050 -1.700) (layer F.CrtYd) (width 0.050))
(fp_line (start 1.050 -1.700) (end -1.050 -1.700) (layer F.CrtYd) (width 0.050))
(model UPC2012X80N.wrl
(at (xyz 0 0 0))
(scale (xyz 0.3937 0.3937 0.3937))
(rotate (xyz 0 0 0 ))
)
)

@ -1 +1 @@
Subproject commit e482148d18ad4d94d476c68798828ac647141b06
Subproject commit e5b0ca3a0f7bb2f5766f3702089849c8915a0f99

View File

@ -1,58 +0,0 @@
#!/bin/sh
if test ! $# -eq 2
then
echo "Need two arguments: a board file name and a tab file name" >&2
exit 1
fi
board="$1"
tab="$2"
if test ! -f "$board"
then
echo "Board file $board not found" >&2
exit 1
fi
if test ! -f "$tab"
then
echo "Tab file $tab not found" >&2
exit 1
fi
btmp=.tmp.$board
awk -F "[ \t]*[;][ \t]*" -v "outfn=$btmp" '
BEGIN { sq = "'\''" }
# quote s with single quotes and remove any single quote from it
# (pcb-rnd action syntax does not have escaping)
function squote(s)
{
gsub("[" sq "]", ".", s)
return sq s sq
}
# ignore comments
/^[ \t]*#/ { next }
# generate an unselect-query-propset sequence for each line
(NF > 1) {
print "Unselect(all)"
print "query(select, " squote($1) ")"
for(n = 2; n <= NF; n++) {
if (split($n, A, "=") == 2)
print "propset(selection, " squote(A[1]) "," squote(A[2]) ")"
}
}
END {
print "Unselect(all)"
print "Save(LayoutAs, " squote(outfn) ")"
}
' < "$tab" | pcb-rnd --gui batch "$board" && mv "$btmp" "$board"

View File

@ -1,5 +0,0 @@
@.a.footprint == "LEDC2012X80N.lht"; a/xy::jlcpcb::rotate=270;
@.a.footprint == "RESC1608X55N.lht"; a/xy::jlcpcb::rotate=90;
@.a.footprint == "CONNECTOR_USB_MICRO-B_C10418.lht"; a/xy::jlcpcb::translate=-1.2mm,0mm;
@.a.footprint == "CONNECTOR_USB_MICRO-B_C10418.lht"; a/xy::jlcpcb::rotate=270;
@.a.footprint == "CONNECTOR_USB-A-2.0_RECEPTACLE_C42428.lht"; a/xy::jlcpcb::translate=0mm,-1.7mm;

6
sym-lib-table Normal file
View File

@ -0,0 +1,6 @@
(sym_lib_table
(version 7)
(lib (name "qeda")(type "KiCad")(uri "${KIPRJMOD}/kicad/qeda.kicad_sym")(options "")(descr ""))
(lib (name "partdb")(type "Database")(uri "${KIPRJMOD}/kicad/partdb.kicad_dbl")(options "")(descr ""))
(lib (name "Connector_Audio")(type "KiCad")(uri "${KICAD7_SYMBOL_DIR}/Connector_Audio.kicad_sym")(options "")(descr ""))
)

83
template.kicad_pcb Normal file
View File

@ -0,0 +1,83 @@
(kicad_pcb (version 20221018) (generator pcbnew)
(general
(thickness 1.6)
)
(paper "A4")
(title_block
(date "$date$")
(rev "$version$.$revision$")
(company "CuVoodoo")
(comment 1 "King Kévin")
(comment 2 "CERN-OHL-S")
)
(layers
(0 "F.Cu" signal)
(31 "B.Cu" signal)
(33 "F.Adhes" user "F.Adhesive")
(36 "B.SilkS" user "B.Silkscreen")
(37 "F.SilkS" user "F.Silkscreen")
(38 "B.Mask" user)
(39 "F.Mask" user)
(44 "Edge.Cuts" user)
(45 "Margin" user)
(46 "B.CrtYd" user "B.Courtyard")
(47 "F.CrtYd" user "F.Courtyard")
(48 "B.Fab" user)
(49 "F.Fab" user)
)
(setup
(stackup
(layer "F.SilkS" (type "Top Silk Screen") (color "White"))
(layer "F.Mask" (type "Top Solder Mask") (color "Green") (thickness 0.01))
(layer "F.Cu" (type "copper") (thickness 0.035))
(layer "dielectric 1" (type "core") (thickness 1.51) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02))
(layer "B.Cu" (type "copper") (thickness 0.035))
(layer "B.Mask" (type "Bottom Solder Mask") (color "Green") (thickness 0.01))
(layer "B.SilkS" (type "Bottom Silk Screen") (color "White"))
(copper_finish "None")
(dielectric_constraints no)
)
(pad_to_mask_clearance 0)
(pcbplotparams
(layerselection 0x00010fc_ffffffff)
(plot_on_all_layers_selection 0x0000000_00000000)
(disableapertmacros false)
(usegerberextensions false)
(usegerberattributes true)
(usegerberadvancedattributes true)
(creategerberjobfile true)
(dashed_line_dash_ratio 12.000000)
(dashed_line_gap_ratio 3.000000)
(svgprecision 6)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin false)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15.000000)
(dxfpolygonmode true)
(dxfimperialunits true)
(dxfusepcbnewfont true)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue true)
(plotinvisibletext false)
(sketchpadsonfab false)
(subtractmaskfromsilk false)
(outputformat 1)
(mirror false)
(drillshape 1)
(scaleselection 1)
(outputdirectory "")
)
)
(net 0 "")
)

483
template.kicad_pro Normal file
View File

@ -0,0 +1,483 @@
{
"board": {
"3dviewports": [],
"design_settings": {
"defaults": {
"board_outline_line_width": 0.049999999999999996,
"copper_line_width": 0.19999999999999998,
"copper_text_italic": false,
"copper_text_size_h": 1.5,
"copper_text_size_v": 1.5,
"copper_text_thickness": 0.3,
"copper_text_upright": false,
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": true,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.15,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 0.762,
"height": 1.524,
"width": 1.524
},
"silk_line_width": 0.15,
"silk_text_italic": false,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.15,
"silk_text_upright": false,
"zones": {
"45_degree_only": false,
"min_clearance": 0.508
}
},
"diff_pair_dimensions": [
{
"gap": 0.0,
"via_gap": 0.0,
"width": 0.0
}
],
"drc_exclusions": [],
"meta": {
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"copper_edge_clearance": "error",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"footprint_type_mismatch": "error",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "warning",
"missing_footprint": "warning",
"net_conflict": "warning",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_over_copper": "warning",
"silk_overlap": "warning",
"skew_out_of_range": "error",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zone_has_empty_net": "error",
"zones_intersect": "error"
},
"rules": {
"allow_blind_buried_vias": false,
"allow_microvias": false,
"max_error": 0.005,
"min_clearance": 0.127,
"min_copper_edge_clearance": 0.19999999999999998,
"min_hole_clearance": 0.254,
"min_hole_to_hole": 0.254,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_silk_clearance": 0.0,
"min_through_hole_diameter": 0.3,
"min_track_width": 0.127,
"min_via_annular_width": 0.13,
"min_via_diameter": 0.5,
"solder_mask_clearance": 0.0,
"solder_mask_min_width": 0.0,
"use_height_for_length_calcs": true
},
"track_widths": [
0.0
],
"via_dimensions": [
{
"diameter": 0.0,
"drill": 0.0
}
],
"zones_allow_external_fillets": false,
"zones_use_no_outline": true
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "error",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "template.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.2,
"via_diameter": 0.6,
"via_drill": 0.3,
"wire_width": 6
},
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Thick",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.5,
"via_diameter": 0.8,
"via_drill": 0.4,
"wire_width": 6
},
{
"bus_width": 12,
"clearance": 0.15,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Thin",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.15,
"via_diameter": 0.5,
"via_drill": 0.3,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"specctra_dsn": "",
"step": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "",
"ngspice": {
"fix_include_paths": true,
"fix_passive_vals": false,
"meta": {
"version": 0
},
"model_mode": 0,
"workbook_filename": ""
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_adjust_passive_values": false,
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"43fc3289-82a7-492c-a423-3030e10115dc",
""
]
],
"text_variables": {}
}

22
template.kicad_sch Normal file
View File

@ -0,0 +1,22 @@
(kicad_sch (version 20230121) (generator eeschema)
(uuid 43fc3289-82a7-492c-a423-3030e10115dc)
(paper "A4")
(title_block
(date "$date$")
(rev "$version$.$revision$")
(company "CuVoodoo")
(comment 1 "King Kévin")
(comment 2 "CERN-OHL-S")
)
(lib_symbols
)
(sheet_instances
(path "/" (page "1"))
)
)

View File

@ -1,587 +0,0 @@
ha:pcb-rnd-board-v8 {
li:styles {
ha:Signal {
via_proto = 0
thickness = 6.0mil
text_thick = 0.0
text_scale = 100
clearance = 6.0mil
}
ha:Power {
via_proto = 1
thickness = 10.0mil
text_thick = 0.0
text_scale = 100
clearance = 10.0mil
}
ha:Fat {
via_proto = 2
thickness = 80.0mil
text_thick = 0.0
text_scale = 100
clearance = 25.0mil
}
ha:Sig-tight {
via_proto = 3
thickness = 10.0mil
text_thick = 0.0
text_scale = 100
clearance = 12.0mil
}
}
ha:meta {
ha:size {
thermal_scale = 0.500000
x = 100.0mm
y = 100.0mm
}
ha:grid {
spacing = 0.5mm
offs_x = 0.0
offs_y = 0.0
}
}
ha:data {
li:padstack_prototypes {
ha:ps_proto_v6.0 {
hdia=0.3mm; hplated=1; htop=0; hbottom=0;
li:shape {
ha:ps_shape_v4 {
ha:ps_circ { x=0.0; y=0.0; dia=0.6mm; }
ha:combining { }
ha:layer_mask {
copper = 1
top = 1
}
clearance=0.0
}
ha:ps_shape_v4 {
ha:ps_circ { x=0.0; y=0.0; dia=0.6mm; }
ha:combining { }
ha:layer_mask {
bottom = 1
copper = 1
}
clearance=0.0
}
ha:ps_shape_v4 {
ha:ps_circ { x=0.0; y=0.0; dia=0.6mm; }
ha:combining { }
ha:layer_mask {
copper = 1
intern = 1
}
clearance=0.0
}
}
}
ha:ps_proto_v6.1 {
hdia=0.5mm; hplated=1; htop=0; hbottom=0;
li:shape {
ha:ps_shape_v4 {
ha:ps_circ { x=0.0; y=0.0; dia=0.8mm; }
ha:combining { }
ha:layer_mask {
copper = 1
top = 1
}
clearance=0.0
}
ha:ps_shape_v4 {
ha:ps_circ { x=0.0; y=0.0; dia=0.8mm; }
ha:combining { }
ha:layer_mask {
bottom = 1
copper = 1
}
clearance=0.0
}
ha:ps_shape_v4 {
ha:ps_circ { x=0.0; y=0.0; dia=0.8mm; }
ha:combining { }
ha:layer_mask {
copper = 1
intern = 1
}
clearance=0.0
}
}
}
ha:ps_proto_v6.2 {
hdia=1.2mm; hplated=1; htop=0; hbottom=0;
li:shape {
ha:ps_shape_v4 {
ha:ps_circ { x=0.0; y=0.0; dia=3.5mm; }
ha:combining { }
ha:layer_mask {
copper = 1
top = 1
}
clearance=0.0
}
ha:ps_shape_v4 {
ha:ps_circ { x=0.0; y=0.0; dia=3.5mm; }
ha:combining { }
ha:layer_mask {
bottom = 1
copper = 1
}
clearance=0.0
}
ha:ps_shape_v4 {
ha:ps_circ { x=0.0; y=0.0; dia=3.5mm; }
ha:combining { }
ha:layer_mask {
copper = 1
intern = 1
}
clearance=0.0
}
}
}
ha:ps_proto_v6.3 {
hdia=0.8mm; hplated=1; htop=0; hbottom=0;
li:shape {
ha:ps_shape_v4 {
ha:ps_circ { x=0.0; y=0.0; dia=64.0mil; }
ha:combining { }
ha:layer_mask {
copper = 1
top = 1
}
clearance=0.0
}
ha:ps_shape_v4 {
ha:ps_circ { x=0.0; y=0.0; dia=64.0mil; }
ha:combining { }
ha:layer_mask {
bottom = 1
copper = 1
}
clearance=0.0
}
ha:ps_shape_v4 {
ha:ps_circ { x=0.0; y=0.0; dia=64.0mil; }
ha:combining { }
ha:layer_mask {
copper = 1
intern = 1
}
clearance=0.0
}
}
}
}
li:objects {
}
li:layers {
ha:top-sig {
lid=0
group=3
ha:combining { }
ha:attributes {
{pcb-rnd::key::vis}={<Key>l; Shift<Key>t}
{pcb-rnd::key::select}={<Key>l; <Key>t}
}
li:objects {
}
color = {#238b27}
}
ha:bottom-sig {
lid=1
group=10
ha:combining { }
ha:attributes {
{pcb-rnd::key::vis}={<Key>l; Shift<Key>b}
{pcb-rnd::key::select}={<Key>l; <Key>b}
}
li:objects {
}
color = {#38ee39}
}
ha:top-gnd {
lid=2
group=3
ha:combining { }
li:objects {
}
color = {#104e8b}
}
ha:bottom-gnd {
lid=3
group=10
ha:combining { }
li:objects {
}
color = {#6164ff}
}
ha:outline {
lid=4
group=7
ha:combining { }
li:objects {
}
color = {#00868b}
}
ha:bottom-silk {
lid=5
group=12
ha:combining { auto=1; }
ha:attributes {
{pcb-rnd::key::vis}={<Key>l; Shift<Key>x}
{pcb-rnd::key::select}={<Key>l; <Key>x}
}
li:objects {
}
color = {#000000}
}
ha:top-silk {
lid=6
group=1
ha:combining { auto=1; }
ha:attributes {
{pcb-rnd::key::vis}={<Key>l; Shift<Key>s}
{pcb-rnd::key::select}={<Key>l; <Key>s}
}
li:objects {
}
color = {#000000}
}
ha:top-paste {
lid=7
group=0
ha:combining { auto=1; }
li:objects {
}
color = {#cd00cd}
}
ha:top-mask {
lid=8
group=2
ha:combining { sub=1; auto=1; }
li:objects {
}
color = {#ff0000}
}
ha:bottom-mask {
lid=9
group=11
ha:combining { sub=1; auto=1; }
li:objects {
}
color = {#ff0000}
}
ha:bottom-paste {
lid=10
group=13
ha:combining { auto=1; }
li:objects {
}
color = {#cd00cd}
}
ha:slot-plated {
lid=11
group=14
ha:combining { auto=1; }
li:objects {
}
color = {#8b7355}
}
ha:slot-unplated {
lid=12
group=15
ha:combining { auto=1; }
li:objects {
}
color = {#00868b}
}
ha:top-assy {
lid=13
group=16
ha:combining { }
li:objects {
}
color = {#444444}
}
ha:bot-assy {
lid=14
group=17
ha:combining { }
li:objects {
}
color = {#444444}
}
ha:fab {
lid=15
group=18
ha:combining { auto=1; }
li:objects {
}
color = {#222222}
}
ha:top-courtyard {
lid=16
group=8
ha:combining { }
li:objects {
}
color = {#104e8b}
}
ha:bot-courtyard {
lid=17
group=9
ha:combining { }
li:objects {
}
color = {#cd3700}
}
ha:top-pwr {
lid=18
group=3
ha:combining { }
li:objects {
}
color = {#c24744}
}
ha:bottom-pwr {
lid=19
group=10
ha:combining { }
li:objects {
}
color = {#ff5759}
}
}
}
ha:layer_stack {
li:groups {
ha:0 {
name = top_paste
ha:type { top=1; paste=1; }
li:layers { 7; }
}
ha:1 {
name = top_silk
ha:type { silk=1; top=1; }
li:layers { 6; }
}
ha:2 {
name = top_mask
ha:type { top=1; mask=1; }
li:layers { 8; }
}
ha:3 {
name = top_copper
ha:type { copper=1; top=1; }
li:layers { 0; 18; 2; }
}
ha:4 {
name = grp_4
ha:type { substrate=1; intern=1; }
li:layers { }
ha:attributes {
thickness={0.7375mm }
}
}
ha:5 {
name = grp_6
ha:type { substrate=1; intern=1; }
li:layers { }
ha:attributes {
thickness={0.125mm }
}
}
ha:6 {
name = grp_8
ha:type { substrate=1; intern=1; }
li:layers { }
ha:attributes {
thickness={0.7375mm }
}
}
ha:7 {
name = global_outline
ha:type { boundary=1; }
li:layers { 4; }
purpose = uroute
}
ha:8 {
name = top-courtyard
ha:type { top=1; doc=1; }
li:layers { 16; }
ha:attributes {
init-invis=true
}
purpose = ko.courtyard
}
ha:9 {
name = bot-courtyard
ha:type { bottom=1; doc=1; }
li:layers { 17; }
ha:attributes {
init-invis=true
}
purpose = ko.courtyard
}
ha:10 {
name = bottom_copper
ha:type { bottom=1; copper=1; }
li:layers { 1; 19; 3; }
}
ha:11 {
name = bottom_mask
ha:type { bottom=1; mask=1; }
li:layers { 9; }
}
ha:12 {
name = bottom_silk
ha:type { silk=1; bottom=1; }
li:layers { 5; }
}
ha:13 {
name = bottom_paste
ha:type { bottom=1; paste=1; }
li:layers { 10; }
}
ha:14 {
name = pmech
ha:type { mech=1; }
li:layers { 11; }
purpose = proute
}
ha:15 {
name = umech
ha:type { mech=1; }
li:layers { 12; }
purpose = uroute
}
ha:16 {
name = top_assy
ha:type { top=1; doc=1; }
li:layers { 13; }
ha:attributes {
init-invis=1
}
purpose = assy
}
ha:17 {
name = bot_assy
ha:type { bottom=1; doc=1; }
li:layers { 14; }
ha:attributes {
init-invis=1
}
purpose = assy
}
ha:18 {
name = fab
ha:type { top=1; doc=1; }
li:layers { 15; }
ha:attributes {
init-invis=1
}
purpose = fab
}
}
}
li:pcb-rnd-conf-v1 {
ha:overwrite {
ha:design {
via_proto = 1
text_font_id = 0
text_scale = 100
min_slk = 0.15240000 mm
text_thickness = 0
line_thickness = 10.00 mil
ha:drc {
min_ring = 0.15 mm
min_copper_overlap = 6.0 mil
min_drill = 0.3 mm
}
min_wid = 0.15240000 mm
bloat = 0.15240000 mm
clearance = 10.00 mil
}
ha:editor {
grid_unit = mm
grids_idx = 11
grid = 500.00 um
}
ha:rc {
li:library_search_paths {
$(rc.path.design)/coraleda/subc
$(rc.path.design)/coraleda/subc
?../pcblib
?~/pcblib/
$(rc.path.share)/pcblib
}
}
}
}
ha:pixmaps {
}
}

View File

@ -1,22 +0,0 @@
v 20210626 2
C 0 0 1 0 0 title.sym
{
T 1000 700 5 10 1 1 0 0 1
date=$date$
T 4300 700 5 10 1 1 0 0 1
org=CuVoodoo
T 4300 400 5 10 1 1 0 0 1
authors=King Kévin
T 3000 1200 5 14 1 1 0 4 1
title=TITLE
T 1000 400 5 10 1 1 0 0 1
version=$version$
T 1000 100 5 10 1 1 0 0 1
revision=$revision$
T 4300 100 5 10 1 1 0 0 1
licence=CERN-OHL-S
T 0 1800 5 10 0 0 0 0 1
device=none
T 0 2000 5 10 0 0 0 0 1
footprint=none
}