diff --git a/.qeda.yaml b/.qeda.yaml index 7463286..6ecd7e9 100644 --- a/.qeda.yaml +++ b/.qeda.yaml @@ -14,7 +14,7 @@ library: - resistor/r0603 - capacitor/c0603 - diode/led0805 - - diode/schottky@smb + - diode/schottky@sma - connector/rj45x2_hc-rj45-5ja-2-2 - connector/barrel_dc-005-2.0 - ic/rs485_mx3485@esa @@ -24,7 +24,7 @@ library: - connector/usb-c_hro_type-c-31-m-12 - mechanical/smd-button_hyp_1ts002e - vreg/ldo_microne_me6217@m5 - - vreg/fuse_jk60@110 + - vreg/fuse_jk60@090 - vreg/buck_tps54560 - capacitor/c1206 - mechanical/switch_mst23d18g2 @@ -38,3 +38,6 @@ library: - ic/usb-serial_ch340@k - connector/rj45x2_hc-rj45-5ja-2-5 - diode/led0603 + - capacitor/cap_radial@8x12 + - vreg/buck_lmr16030@pddar + - diode/schottky@d-pak diff --git a/kicad/qeda.3dshapes/SOP100P615X140-10N.stp b/kicad/qeda.3dshapes/CAPPRD350W50D800H1200N.stp similarity index 84% rename from kicad/qeda.3dshapes/SOP100P615X140-10N.stp rename to kicad/qeda.3dshapes/CAPPRD350W50D800H1200N.stp index bee4da6..9447ff3 100644 --- a/kicad/qeda.3dshapes/SOP100P615X140-10N.stp +++ b/kicad/qeda.3dshapes/CAPPRD350W50D800H1200N.stp @@ -1,7 +1,7 @@ ISO-10303-21; HEADER; -FILE_DESCRIPTION(('Simplified model for SOP100P615X140-10N'),'2;1'); -FILE_NAME('SOP100P615X140-10N','1970-01-01T00:00:00.00',(''),(''), +FILE_DESCRIPTION(('Simplified model for CAPPRD350W50D800H1200N'),'2;1'); +FILE_NAME('CAPPRD350W50D800H1200N','1970-01-01T00:00:00.00',(''),(''), 'QEDA','QEDA','Unknown'); FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); ENDSEC; @@ -14,7 +14,7 @@ DATA; #4 = PRODUCT_DEFINITION_SHAPE('','',#5); #5 = PRODUCT_DEFINITION('design','',#6,#9); #6 = PRODUCT_DEFINITION_FORMATION('','',#7); -#7 = PRODUCT('SOP100P615X140-10N','SOP100P615X140-10N','',(#8)); +#7 = PRODUCT('CAPPRD350W50D800H1200N','CAPPRD350W50D800H1200N','',(#8)); #8 = PRODUCT_CONTEXT('',#2,'mechanical'); #9 = PRODUCT_DEFINITION_CONTEXT('part definition',#2,'design'); #10 = ADVANCED_BREP_SHAPE_REPRESENTATION('',(#11,#15),#165); @@ -32,7 +32,7 @@ DATA; #22 = VERTEX_POINT('',#23); #23 = CARTESIAN_POINT('',(0.,0.,0.)); #24 = VERTEX_POINT('',#25); -#25 = CARTESIAN_POINT('',(0.,0.,1.4)); +#25 = CARTESIAN_POINT('',(0.,0.,12.)); #26 = LINE('',#27,#28); #27 = CARTESIAN_POINT('',(0.,0.,0.)); #28 = VECTOR('',#29,1.); @@ -40,7 +40,7 @@ DATA; #30 = ORIENTED_EDGE('',*,*,#31,.T.); #31 = EDGE_CURVE('',#22,#32,#34,.T.); #32 = VERTEX_POINT('',#33); -#33 = CARTESIAN_POINT('',(0.,4.9,0.)); +#33 = CARTESIAN_POINT('',(0.,0.,0.)); #34 = LINE('',#35,#36); #35 = CARTESIAN_POINT('',(0.,0.,0.)); #36 = VECTOR('',#37,1.); @@ -48,15 +48,15 @@ DATA; #38 = ORIENTED_EDGE('',*,*,#39,.T.); #39 = EDGE_CURVE('',#32,#40,#42,.T.); #40 = VERTEX_POINT('',#41); -#41 = CARTESIAN_POINT('',(0.,4.9,1.4)); +#41 = CARTESIAN_POINT('',(0.,0.,12.)); #42 = LINE('',#43,#44); -#43 = CARTESIAN_POINT('',(0.,4.9,0.)); +#43 = CARTESIAN_POINT('',(0.,0.,0.)); #44 = VECTOR('',#45,1.); #45 = DIRECTION('',(0.,0.,1.)); #46 = ORIENTED_EDGE('',*,*,#47,.F.); #47 = EDGE_CURVE('',#24,#40,#48,.T.); #48 = LINE('',#49,#50); -#49 = CARTESIAN_POINT('',(0.,0.,1.4)); +#49 = CARTESIAN_POINT('',(0.,0.,12.)); #50 = VECTOR('',#51,1.); #51 = DIRECTION('',(-0.,1.,0.)); #52 = PLANE('',#53); @@ -70,38 +70,38 @@ DATA; #60 = ORIENTED_EDGE('',*,*,#61,.F.); #61 = EDGE_CURVE('',#62,#64,#66,.T.); #62 = VERTEX_POINT('',#63); -#63 = CARTESIAN_POINT('',(3.9,0.,0.)); +#63 = CARTESIAN_POINT('',(0.,0.,0.)); #64 = VERTEX_POINT('',#65); -#65 = CARTESIAN_POINT('',(3.9,0.,1.4)); +#65 = CARTESIAN_POINT('',(0.,0.,12.)); #66 = LINE('',#67,#68); -#67 = CARTESIAN_POINT('',(3.9,0.,0.)); +#67 = CARTESIAN_POINT('',(0.,0.,0.)); #68 = VECTOR('',#69,1.); #69 = DIRECTION('',(0.,0.,1.)); #70 = ORIENTED_EDGE('',*,*,#71,.T.); #71 = EDGE_CURVE('',#62,#72,#74,.T.); #72 = VERTEX_POINT('',#73); -#73 = CARTESIAN_POINT('',(3.9,4.9,0.)); +#73 = CARTESIAN_POINT('',(0.,0.,0.)); #74 = LINE('',#75,#76); -#75 = CARTESIAN_POINT('',(3.9,0.,0.)); +#75 = CARTESIAN_POINT('',(0.,0.,0.)); #76 = VECTOR('',#77,1.); #77 = DIRECTION('',(-0.,1.,0.)); #78 = ORIENTED_EDGE('',*,*,#79,.T.); #79 = EDGE_CURVE('',#72,#80,#82,.T.); #80 = VERTEX_POINT('',#81); -#81 = CARTESIAN_POINT('',(3.9,4.9,1.4)); +#81 = CARTESIAN_POINT('',(0.,0.,12.)); #82 = LINE('',#83,#84); -#83 = CARTESIAN_POINT('',(3.9,4.9,0.)); +#83 = CARTESIAN_POINT('',(0.,0.,0.)); #84 = VECTOR('',#85,1.); #85 = DIRECTION('',(0.,0.,1.)); #86 = ORIENTED_EDGE('',*,*,#87,.F.); #87 = EDGE_CURVE('',#64,#80,#88,.T.); #88 = LINE('',#89,#90); -#89 = CARTESIAN_POINT('',(3.9,0.,1.4)); +#89 = CARTESIAN_POINT('',(0.,0.,12.)); #90 = VECTOR('',#91,1.); #91 = DIRECTION('',(-0.,1.,0.)); #92 = PLANE('',#93); #93 = AXIS2_PLACEMENT_3D('',#94,#95,#96); -#94 = CARTESIAN_POINT('',(3.9,0.,0.)); +#94 = CARTESIAN_POINT('',(0.,0.,0.)); #95 = DIRECTION('',(1.,0.,-0.)); #96 = DIRECTION('',(0.,0.,1.)); #97 = ADVANCED_FACE('',(#98),#114,.F.); @@ -117,7 +117,7 @@ DATA; #107 = ORIENTED_EDGE('',*,*,#108,.T.); #108 = EDGE_CURVE('',#24,#64,#109,.T.); #109 = LINE('',#110,#111); -#110 = CARTESIAN_POINT('',(0.,0.,1.4)); +#110 = CARTESIAN_POINT('',(0.,0.,12.)); #111 = VECTOR('',#112,1.); #112 = DIRECTION('',(1.,0.,-0.)); #113 = ORIENTED_EDGE('',*,*,#61,.F.); @@ -132,20 +132,20 @@ DATA; #122 = ORIENTED_EDGE('',*,*,#123,.F.); #123 = EDGE_CURVE('',#32,#72,#124,.T.); #124 = LINE('',#125,#126); -#125 = CARTESIAN_POINT('',(0.,4.9,0.)); +#125 = CARTESIAN_POINT('',(0.,0.,0.)); #126 = VECTOR('',#127,1.); #127 = DIRECTION('',(1.,0.,-0.)); #128 = ORIENTED_EDGE('',*,*,#39,.T.); #129 = ORIENTED_EDGE('',*,*,#130,.T.); #130 = EDGE_CURVE('',#40,#80,#131,.T.); #131 = LINE('',#132,#133); -#132 = CARTESIAN_POINT('',(0.,4.9,1.4)); +#132 = CARTESIAN_POINT('',(0.,0.,12.)); #133 = VECTOR('',#134,1.); #134 = DIRECTION('',(1.,0.,-0.)); #135 = ORIENTED_EDGE('',*,*,#79,.F.); #136 = PLANE('',#137); #137 = AXIS2_PLACEMENT_3D('',#138,#139,#140); -#138 = CARTESIAN_POINT('',(0.,4.9,0.)); +#138 = CARTESIAN_POINT('',(0.,0.,0.)); #139 = DIRECTION('',(-0.,1.,0.)); #140 = DIRECTION('',(0.,0.,1.)); #141 = ADVANCED_FACE('',(#142),#148,.F.); @@ -169,7 +169,7 @@ DATA; #159 = ORIENTED_EDGE('',*,*,#130,.F.); #160 = PLANE('',#161); #161 = AXIS2_PLACEMENT_3D('',#162,#163,#164); -#162 = CARTESIAN_POINT('',(0.,0.,1.4)); +#162 = CARTESIAN_POINT('',(0.,0.,12.)); #163 = DIRECTION('',(0.,0.,1.)); #164 = DIRECTION('',(1.,0.,-0.)); #165 = ( GEOMETRIC_REPRESENTATION_CONTEXT(3) diff --git a/kicad/qeda.3dshapes/CONNECTOR_MAX7620-7.62-02P.stp b/kicad/qeda.3dshapes/CONNECTOR_MAX7620-7.62-02P.stp deleted file mode 100644 index 9b84832..0000000 --- a/kicad/qeda.3dshapes/CONNECTOR_MAX7620-7.62-02P.stp +++ /dev/null @@ -1,14576 +0,0 @@ -ISO-10303-21; -HEADER; -/* Generated by software containing ST-Developer - * from STEP Tools, Inc. (www.steptools.com) - */ -/* OPTION: strings as raw bytes, not using required /X/ escapes */ - -FILE_DESCRIPTION( -/* description */ ('Unknown'), -/* implementation_level */ '2;1'); - -FILE_NAME( -/* name */ 'Terminal Block - 7.62mm - 2 Pin', -/* time_stamp */ '2018-12-07T12:46:51-05:00', -/* author */ ('Unknown'), -/* organization */ ('Unknown'), -/* preprocessor_version */ 'ST-DEVELOPER v16.7', -/* originating_system */ 'DEX', -/* authorisation */ $); - -FILE_SCHEMA (('AUTOMOTIVE_DESIGN {1 0 10303 214 3 1 1}')); -ENDSEC; - -DATA; -#10=PROPERTY_DEFINITION_REPRESENTATION(#14,#12); -#11=PROPERTY_DEFINITION_REPRESENTATION(#15,#13); -#12=REPRESENTATION('',(#16),#13501); -#13=REPRESENTATION('',(#17),#13501); -#14=PROPERTY_DEFINITION('pmi validation property','',#13506); -#15=PROPERTY_DEFINITION('pmi validation property','',#13506); -#16=VALUE_REPRESENTATION_ITEM('number of annotations',COUNT_MEASURE(0.)); -#17=VALUE_REPRESENTATION_ITEM('number of views',COUNT_MEASURE(0.)); -#18=( -BOUNDED_SURFACE() -B_SPLINE_SURFACE(3,2,((#13064,#13065,#13066),(#13067,#13068,#13069),(#13070, -#13071,#13072),(#13073,#13074,#13075)),.UNSPECIFIED.,.F.,.F.,.F.) -B_SPLINE_SURFACE_WITH_KNOTS((4,4),(3,3),(0.00238556717613464,0.0029305217265103), -(0.,1.),.PIECEWISE_BEZIER_KNOTS.) -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_SURFACE(((1.,0.766044443118978,1.),(1.,0.730275975838694, -1.),(1.,0.697394405987326,1.),(1.,0.668817977913664,1.))) -REPRESENTATION_ITEM('') -SURFACE() -); -#19=( -BOUNDED_SURFACE() -B_SPLINE_SURFACE(3,2,((#13081,#13082,#13083),(#13084,#13085,#13086),(#13087, -#13088,#13089),(#13090,#13091,#13092),(#13093,#13094,#13095)), - .UNSPECIFIED.,.F.,.F.,.F.) -B_SPLINE_SURFACE_WITH_KNOTS((4,1,4),(3,3),(0.00300270846100699,0.00387366260844967, -0.00474461675589234),(0.,1.),.UNSPECIFIED.) -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_SURFACE(((1.,0.517647578308101,1.),(1.,0.572330948401494, -1.),(1.,0.634709054736365,1.),(1.,0.572690011831823,1.),(1.,0.517634282537952, -1.))) -REPRESENTATION_ITEM('') -SURFACE() -); -#20=( -BOUNDED_SURFACE() -B_SPLINE_SURFACE(3,2,((#13101,#13102,#13103),(#13104,#13105,#13106),(#13107, -#13108,#13109),(#13110,#13111,#13112)),.UNSPECIFIED.,.F.,.F.,.F.) -B_SPLINE_SURFACE_WITH_KNOTS((4,4),(3,3),(0.00238556717613464,0.00293052172651029), -(0.,1.),.PIECEWISE_BEZIER_KNOTS.) -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_SURFACE(((1.,0.766044443118978,1.),(1.,0.730275975838582, -1.),(1.,0.697394405987266,1.),(1.,0.668817977913665,1.))) -REPRESENTATION_ITEM('') -SURFACE() -); -#21=( -BOUNDED_SURFACE() -B_SPLINE_SURFACE(3,2,((#13121,#13122,#13123),(#13124,#13125,#13126),(#13127, -#13128,#13129),(#13130,#13131,#13132)),.UNSPECIFIED.,.F.,.F.,.F.) -B_SPLINE_SURFACE_WITH_KNOTS((4,4),(3,3),(0.00238556717613464,0.00293052172651031), -(0.,1.),.PIECEWISE_BEZIER_KNOTS.) -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_SURFACE(((1.,0.766044443118978,1.),(1.,0.730275975838816, -1.),(1.,0.69739440598776,1.),(1.,0.66881797791366,1.))) -REPRESENTATION_ITEM('') -SURFACE() -); -#22=( -BOUNDED_SURFACE() -B_SPLINE_SURFACE(3,2,((#13138,#13139,#13140),(#13141,#13142,#13143),(#13144, -#13145,#13146),(#13147,#13148,#13149),(#13150,#13151,#13152)), - .UNSPECIFIED.,.F.,.F.,.F.) -B_SPLINE_SURFACE_WITH_KNOTS((4,1,4),(3,3),(0.00300270846100699,0.00387366260844966, -0.00474461675589233),(0.,1.),.UNSPECIFIED.) -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_SURFACE(((1.,0.517647578308108,1.),(1.,0.57233094840126, -1.),(1.,0.634709054736159,1.),(1.,0.57269001183249,1.),(1.,0.517634282537955, -1.))) -REPRESENTATION_ITEM('') -SURFACE() -); -#23=( -BOUNDED_SURFACE() -B_SPLINE_SURFACE(3,2,((#13158,#13159,#13160),(#13161,#13162,#13163),(#13164, -#13165,#13166),(#13167,#13168,#13169)),.UNSPECIFIED.,.F.,.F.,.F.) -B_SPLINE_SURFACE_WITH_KNOTS((4,4),(3,3),(0.00238556717613464,0.00293052172651028), -(0.,1.),.PIECEWISE_BEZIER_KNOTS.) -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_SURFACE(((1.,0.766044443118978,1.),(1.,0.730275975838583, -1.),(1.,0.697394405987601,1.),(1.,0.668817977913666,1.))) -REPRESENTATION_ITEM('') -SURFACE() -); -#24=( -BOUNDED_SURFACE() -B_SPLINE_SURFACE(3,2,((#13192,#13193,#13194),(#13195,#13196,#13197),(#13198, -#13199,#13200),(#13201,#13202,#13203)),.UNSPECIFIED.,.F.,.F.,.F.) -B_SPLINE_SURFACE_WITH_KNOTS((4,4),(3,3),(0.00238556717613464,0.00293052172651029), -(0.,1.),.PIECEWISE_BEZIER_KNOTS.) -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_SURFACE(((1.,0.766044443118978,1.),(1.,0.730275975838756, -1.),(1.,0.697394405987185,1.),(1.,0.668817977913665,1.))) -REPRESENTATION_ITEM('') -SURFACE() -); -#25=( -BOUNDED_SURFACE() -B_SPLINE_SURFACE(3,2,((#13219,#13220,#13221),(#13222,#13223,#13224),(#13225, -#13226,#13227),(#13228,#13229,#13230),(#13231,#13232,#13233)), - .UNSPECIFIED.,.F.,.F.,.F.) -B_SPLINE_SURFACE_WITH_KNOTS((4,1,4),(3,3),(0.003002708461007,0.00387366260844967, -0.00474461675589234),(0.,1.),.UNSPECIFIED.) -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_SURFACE(((1.,0.5176475783081,1.),(1.,0.572330948401718, -1.),(1.,0.634709054736107,1.),(1.,0.572690011832114,1.),(1.,0.517634282537952, -1.))) -REPRESENTATION_ITEM('') -SURFACE() -); -#26=( -BOUNDED_SURFACE() -B_SPLINE_SURFACE(3,2,((#13260,#13261,#13262),(#13263,#13264,#13265),(#13266, -#13267,#13268),(#13269,#13270,#13271)),.UNSPECIFIED.,.F.,.F.,.F.) -B_SPLINE_SURFACE_WITH_KNOTS((4,4),(3,3),(0.00238556717613464,0.0029305217265103), -(0.,1.),.PIECEWISE_BEZIER_KNOTS.) -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_SURFACE(((1.,0.766044443118978,1.),(1.,0.730275975838582, -1.),(1.,0.697394405987488,1.),(1.,0.668817977913664,1.))) -REPRESENTATION_ITEM('') -SURFACE() -); -#27=( -BOUNDED_SURFACE() -B_SPLINE_SURFACE(3,2,((#13328,#13329,#13330),(#13331,#13332,#13333),(#13334, -#13335,#13336),(#13337,#13338,#13339)),.UNSPECIFIED.,.F.,.F.,.F.) -B_SPLINE_SURFACE_WITH_KNOTS((4,4),(3,3),(0.00238556717613464,0.00293052172651028), -(0.,1.),.PIECEWISE_BEZIER_KNOTS.) -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_SURFACE(((1.,0.766044443118978,1.),(1.,0.730275975838878, -1.),(1.,0.697394405987318,1.),(1.,0.668817977913667,1.))) -REPRESENTATION_ITEM('') -SURFACE() -); -#28=( -BOUNDED_SURFACE() -B_SPLINE_SURFACE(3,2,((#13361,#13362,#13363),(#13364,#13365,#13366),(#13367, -#13368,#13369),(#13370,#13371,#13372),(#13373,#13374,#13375)), - .UNSPECIFIED.,.F.,.F.,.F.) -B_SPLINE_SURFACE_WITH_KNOTS((4,1,4),(3,3),(0.00300270846100699,0.00387366260844966, -0.00474461675589233),(0.,1.),.UNSPECIFIED.) -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_SURFACE(((1.,0.517647578308109,1.),(1.,0.572330948401163, -1.),(1.,0.634709054736248,1.),(1.,0.572690011832409,1.),(1.,0.517634282537955, -1.))) -REPRESENTATION_ITEM('') -SURFACE() -); -#29=( -BOUNDED_SURFACE() -B_SPLINE_SURFACE(3,2,((#13402,#13403,#13404),(#13405,#13406,#13407),(#13408, -#13409,#13410),(#13411,#13412,#13413)),.UNSPECIFIED.,.F.,.F.,.F.) -B_SPLINE_SURFACE_WITH_KNOTS((4,4),(3,3),(0.00238556717613464,0.00293052172651031), -(0.,1.),.PIECEWISE_BEZIER_KNOTS.) -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_SURFACE(((1.,0.766044443118978,1.),(1.,0.730275975838581, -1.),(1.,0.697394405987709,1.),(1.,0.668817977913661,1.))) -REPRESENTATION_ITEM('') -SURFACE() -); -#30=ELLIPSE('',#8029,0.525685974116024,0.371716117072097); -#31=ELLIPSE('',#8034,0.525685974116024,0.371716117072097); -#32=ELLIPSE('',#8037,0.525685974116024,0.371716117072097); -#33=ELLIPSE('',#8042,0.525685974116024,0.371716117072097); -#34=ELLIPSE('',#8045,0.525685974116024,0.371716117072097); -#35=ELLIPSE('',#8050,0.525685974116024,0.371716117072097); -#36=ELLIPSE('',#8053,0.525685974116024,0.371716117072097); -#37=ELLIPSE('',#8057,0.525685974116024,0.371716117072097); -#38=TOROIDAL_SURFACE('',#8000,1.85895395122258,1.06204604877742); -#39=TOROIDAL_SURFACE('',#8004,1.85895395122258,1.06204604877742); -#40=TOROIDAL_SURFACE('',#8020,2.6997404065047,0.221259593495295); -#41=TOROIDAL_SURFACE('',#8073,1.85895395122258,1.06204604877742); -#42=TOROIDAL_SURFACE('',#8077,1.85895395122258,1.06204604877742); -#43=TOROIDAL_SURFACE('',#8081,2.6997404065047,0.221259593495295); -#44=MANIFOLD_SOLID_BREP('',#7739); -#45=MANIFOLD_SOLID_BREP('',#7740); -#46=SHAPE_REPRESENTATION_RELATIONSHIP('','',#7743,#47); -#47=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#7741,#44,#45),#13501); -#48=ORIENTED_CLOSED_SHELL('',*,#7719,.F.); -#49=ORIENTED_CLOSED_SHELL('',*,#7720,.F.); -#50=ORIENTED_CLOSED_SHELL('',*,#7721,.F.); -#51=ORIENTED_CLOSED_SHELL('',*,#7722,.F.); -#52=ORIENTED_CLOSED_SHELL('',*,#7723,.F.); -#53=ORIENTED_CLOSED_SHELL('',*,#7724,.F.); -#54=ORIENTED_CLOSED_SHELL('',*,#7725,.F.); -#55=ORIENTED_CLOSED_SHELL('',*,#7726,.F.); -#56=ORIENTED_CLOSED_SHELL('',*,#7727,.F.); -#57=ORIENTED_CLOSED_SHELL('',*,#7728,.F.); -#58=ORIENTED_CLOSED_SHELL('',*,#7729,.F.); -#59=ORIENTED_CLOSED_SHELL('',*,#7730,.F.); -#60=ORIENTED_CLOSED_SHELL('',*,#7731,.F.); -#61=ORIENTED_CLOSED_SHELL('',*,#7732,.F.); -#62=ORIENTED_CLOSED_SHELL('',*,#7733,.F.); -#63=ORIENTED_CLOSED_SHELL('',*,#7734,.F.); -#64=ORIENTED_CLOSED_SHELL('',*,#7735,.F.); -#65=ORIENTED_CLOSED_SHELL('',*,#7736,.F.); -#66=ORIENTED_CLOSED_SHELL('',*,#7737,.F.); -#67=ORIENTED_CLOSED_SHELL('',*,#7738,.F.); -#68=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9841,#9842,#9843,#9844,#9845,#9846, -#9847,#9848,#9849,#9850,#9851,#9852,#9853,#9854,#9855,#9856,#9857,#9858, -#9859,#9860,#9861,#9862,#9863,#9864,#9865,#9866,#9867),.UNSPECIFIED.,.F., - .F.,(4,3,3,3,3,3,2,3,3,4),(0.36497952086483,0.45933099087609,0.516944167209535, -0.557126753537307,0.686640883864527,0.748086514227299,0.810250041191379, -0.870022663272226,0.929664419612106,1.),.UNSPECIFIED.); -#69=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9872,#9873,#9874,#9875,#9876,#9877, -#9878,#9879,#9880,#9881,#9882,#9883,#9884,#9885,#9886,#9887,#9888,#9889, -#9890,#9891,#9892,#9893,#9894,#9895,#9896,#9897,#9898),.UNSPECIFIED.,.F., - .F.,(4,3,3,3,3,3,2,3,3,4),(0.36497952086483,0.45933099087609,0.516944167209535, -0.557126753537307,0.686640883864527,0.748086514227299,0.810250041191379, -0.870022663272226,0.929664419612106,1.),.UNSPECIFIED.); -#70=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9902,#9903,#9904,#9905), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.128947009015388),.UNSPECIFIED.); -#71=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9907,#9908,#9909,#9910), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.128947009015388),.UNSPECIFIED.); -#72=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9931,#9932,#9933,#9934,#9935,#9936, -#9937,#9938,#9939,#9940),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.128947009015388, -0.188131112931542,0.254616300873439,0.338103647588895),.UNSPECIFIED.); -#73=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9942,#9943,#9944,#9945,#9946,#9947, -#9948,#9949,#9950,#9951),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.128947009015388, -0.188131112931542,0.254616300873439,0.338103647588895),.UNSPECIFIED.); -#74=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9955,#9956,#9957,#9958), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.592643140549946,0.887256788394631), - .UNSPECIFIED.); -#75=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9963,#9964,#9965,#9966), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.592643140549946,0.887256788394631), - .UNSPECIFIED.); -#76=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9970,#9971,#9972,#9973), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.887256788394631,1.),.UNSPECIFIED.); -#77=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9975,#9976,#9977,#9978), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.887256788394631,1.),.UNSPECIFIED.); -#78=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9995,#9996,#9997,#9998,#9999,#10000, -#10001,#10002,#10003,#10004,#10005,#10006,#10007,#10008,#10009), - .UNSPECIFIED.,.F.,.F.,(4,3,2,3,3,4),(0.,0.119706208881834,0.238903397046605, -0.340441742520299,0.478210210105255,0.592643140549946),.UNSPECIFIED.); -#79=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10010,#10011,#10012,#10013,#10014, -#10015,#10016,#10017,#10018,#10019,#10020,#10021,#10022,#10023,#10024), - .UNSPECIFIED.,.F.,.F.,(4,3,2,3,3,4),(0.,0.119706208881834,0.238903397046605, -0.340441742520299,0.478210210105255,0.592643140549946),.UNSPECIFIED.); -#80=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10026,#10027,#10028,#10029), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.338103647588895,0.36497952086483), - .UNSPECIFIED.); -#81=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10030,#10031,#10032,#10033), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.338103647588895,0.36497952086483), - .UNSPECIFIED.); -#82=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10060,#10061,#10062,#10063,#10064, -#10065,#10066,#10067,#10068,#10069),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.556268169960333, -0.918467473765595,0.958135253387769,1.),.UNSPECIFIED.); -#83=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10074,#10075,#10076,#10077,#10078, -#10079,#10080,#10081,#10082,#10083),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.556268169960333, -0.918467473765595,0.958135253387769,1.),.UNSPECIFIED.); -#84=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10087,#10088,#10089,#10090), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0306175670482868),.UNSPECIFIED.); -#85=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10092,#10093,#10094,#10095), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0306175670482868),.UNSPECIFIED.); -#86=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10120,#10121,#10122,#10123,#10124, -#10125,#10126,#10127,#10128,#10129),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.0306175670482868, -0.116949741513534,0.16316420839463,0.525976321710433),.UNSPECIFIED.); -#87=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10131,#10132,#10133,#10134,#10135, -#10136,#10137,#10138,#10139,#10140),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.0306175670482868, -0.116949741513534,0.16316420839463,0.525976321710433),.UNSPECIFIED.); -#88=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10144,#10145,#10146,#10147), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.525976321710433,0.556268169960333), - .UNSPECIFIED.); -#89=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10148,#10149,#10150,#10151), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.525976321710433,0.556268169960333), - .UNSPECIFIED.); -#90=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10184,#10185,#10186,#10187,#10188, -#10189,#10190,#10191,#10192,#10193,#10194,#10195,#10196,#10197,#10198,#10199, -#10200,#10201,#10202,#10203,#10204,#10205,#10206,#10207,#10208,#10209,#10210), - .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,2,3,3,4),(0.365197205355406,0.459270309272553, -0.516888108261338,0.557073919008414,0.686598442092798,0.748100649959193, -0.80943520914963,0.869973215623308,0.929637661646656,1.),.UNSPECIFIED.); -#91=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10215,#10216,#10217,#10218,#10219, -#10220,#10221,#10222,#10223,#10224,#10225,#10226,#10227,#10228,#10229,#10230, -#10231,#10232,#10233,#10234,#10235,#10236,#10237,#10238,#10239,#10240,#10241), - .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,2,3,3,4),(0.365197205355406,0.459270309272553, -0.516888108261338,0.557073919008414,0.686598442092798,0.748100649959193, -0.80943520914963,0.869973215623308,0.929637661646656,1.),.UNSPECIFIED.); -#92=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10245,#10246,#10247,#10248), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.1292432917038),.UNSPECIFIED.); -#93=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10250,#10251,#10252,#10253), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.1292432917038),.UNSPECIFIED.); -#94=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10274,#10275,#10276,#10277,#10278, -#10279,#10280,#10281,#10282,#10283),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.1292432917038, -0.188432144320364,0.255111068763716,0.338319175664792),.UNSPECIFIED.); -#95=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10285,#10286,#10287,#10288,#10289, -#10290,#10291,#10292,#10293,#10294),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.1292432917038, -0.188432144320364,0.255111068763716,0.338319175664792),.UNSPECIFIED.); -#96=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10298,#10299,#10300,#10301), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.59285366579112,0.887315054976532), - .UNSPECIFIED.); -#97=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10306,#10307,#10308,#10309), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.59285366579112,0.887315054976532), - .UNSPECIFIED.); -#98=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10313,#10314,#10315,#10316), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.887315054976532,1.),.UNSPECIFIED.); -#99=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10318,#10319,#10320,#10321), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.887315054976532,1.),.UNSPECIFIED.); -#100=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10338,#10339,#10340,#10341,#10342, -#10343,#10344,#10345,#10346,#10347,#10348,#10349,#10350,#10351), - .UNSPECIFIED.,.F.,.F.,(4,2,2,3,3,4),(0.,0.120224726231803,0.240449452463607, -0.340636724323441,0.478413682724291,0.59285366579112),.UNSPECIFIED.); -#101=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10352,#10353,#10354,#10355,#10356, -#10357,#10358,#10359,#10360,#10361,#10362,#10363,#10364,#10365), - .UNSPECIFIED.,.F.,.F.,(4,2,2,3,3,4),(0.,0.120224726231803,0.240449452463607, -0.340636724323441,0.478413682724291,0.59285366579112),.UNSPECIFIED.); -#102=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10367,#10368,#10369,#10370), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.338319175664792,0.365197205355406), - .UNSPECIFIED.); -#103=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10371,#10372,#10373,#10374), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.338319175664792,0.365197205355406), - .UNSPECIFIED.); -#104=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10409,#10410,#10411,#10412,#10413, -#10414,#10415,#10416,#10417,#10418,#10419,#10420,#10421,#10422,#10423,#10424, -#10425,#10426,#10427,#10428,#10429,#10430,#10431,#10432,#10433,#10434,#10435, -#10436,#10437),.UNSPECIFIED.,.T.,.F.,(4,3,3,2,3,3,3,3,2,3,4),(0.,0.18618290179591, -0.263326906766511,0.3430064352506,0.409406042320673,0.500115591764286,0.68742080504995, -0.77701332330645,0.843215273168729,0.923273445095205,1.),.UNSPECIFIED.); -#105=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10439,#10440,#10441,#10442,#10443, -#10444,#10445,#10446,#10447,#10448,#10449,#10450,#10451,#10452,#10453,#10454, -#10455,#10456,#10457,#10458,#10459,#10460,#10461,#10462,#10463,#10464,#10465, -#10466,#10467),.UNSPECIFIED.,.T.,.F.,(4,3,3,2,3,3,3,3,2,3,4),(0.,0.18618290179591, -0.263326906766511,0.3430064352506,0.409406042320673,0.500115591764286,0.68742080504995, -0.77701332330645,0.843215273168729,0.923273445095205,1.),.UNSPECIFIED.); -#106=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10501,#10502,#10503,#10504,#10505, -#10506,#10507,#10508,#10509,#10510,#10511,#10512,#10513,#10514,#10515,#10516, -#10517,#10518,#10519,#10520,#10521,#10522,#10523,#10524,#10525,#10526,#10527), - .UNSPECIFIED.,.T.,.F.,(4,2,2,3,3,3,3,2,2,3,4),(0.,0.0857065746135875,0.171413149227174, -0.255992005753739,0.34201249720691,0.49886690582038,0.585328223992981,0.672495434981115, -0.757427589277246,0.842359743573377,1.),.UNSPECIFIED.); -#107=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10529,#10530,#10531,#10532,#10533, -#10534,#10535,#10536,#10537,#10538,#10539,#10540,#10541,#10542,#10543,#10544, -#10545,#10546,#10547,#10548,#10549,#10550,#10551,#10552,#10553,#10554,#10555), - .UNSPECIFIED.,.T.,.F.,(4,2,2,3,3,3,3,2,2,3,4),(0.,0.0857065746135875,0.171413149227174, -0.255992005753739,0.34201249720691,0.49886690582038,0.585328223992981,0.672495434981115, -0.757427589277246,0.842359743573377,1.),.UNSPECIFIED.); -#108=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10589,#10590,#10591,#10592,#10593, -#10594,#10595,#10596,#10597,#10598,#10599,#10600,#10601,#10602,#10603,#10604, -#10605,#10606,#10607,#10608,#10609,#10610,#10611,#10612,#10613,#10614,#10615), - .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,2,3,3,4),(0.364984155370695,0.459336823455863, -0.516950731360775,0.557133827926577,0.686649602822773,0.74809601342147, -0.810253856252838,0.870021012821461,0.929663526491695,1.),.UNSPECIFIED.); -#109=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10620,#10621,#10622,#10623,#10624, -#10625,#10626,#10627,#10628,#10629,#10630,#10631,#10632,#10633,#10634,#10635, -#10636,#10637,#10638,#10639,#10640,#10641,#10642,#10643,#10644,#10645,#10646), - .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,2,3,3,4),(0.364984155370695,0.459336823455863, -0.516950731360775,0.557133827926577,0.686649602822773,0.74809601342147, -0.810253856252838,0.870021012821461,0.929663526491695,1.),.UNSPECIFIED.); -#110=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10650,#10651,#10652,#10653), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.128948646383063),.UNSPECIFIED.); -#111=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10655,#10656,#10657,#10658), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.128948646383063),.UNSPECIFIED.); -#112=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10679,#10680,#10681,#10682,#10683, -#10684,#10685,#10686,#10687,#10688),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.128948646383063, -0.188133501818305,0.254619533988373,0.338107940825223),.UNSPECIFIED.); -#113=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10690,#10691,#10692,#10693,#10694, -#10695,#10696,#10697,#10698,#10699),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.128948646383063, -0.188133501818305,0.254619533988373,0.338107940825223),.UNSPECIFIED.); -#114=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10703,#10704,#10705,#10706), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.592643959221323,0.887257014976406), - .UNSPECIFIED.); -#115=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10711,#10712,#10713,#10714), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.592643959221323,0.887257014976406), - .UNSPECIFIED.); -#116=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10718,#10719,#10720,#10721), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.887257014976406,1.),.UNSPECIFIED.); -#117=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10723,#10724,#10725,#10726), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.887257014976406,1.),.UNSPECIFIED.); -#118=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10743,#10744,#10745,#10746,#10747, -#10748,#10749,#10750,#10751,#10752,#10753,#10754,#10755,#10756,#10757), - .UNSPECIFIED.,.F.,.F.,(4,3,2,3,3,4),(0.,0.119501585559575,0.238825125169365, -0.340471103355481,0.479034406875825,0.592643959221323),.UNSPECIFIED.); -#119=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10758,#10759,#10760,#10761,#10762, -#10763,#10764,#10765,#10766,#10767,#10768,#10769,#10770,#10771,#10772), - .UNSPECIFIED.,.F.,.F.,(4,3,2,3,3,4),(0.,0.119501585559575,0.238825125169365, -0.340471103355481,0.479034406875825,0.592643959221323),.UNSPECIFIED.); -#120=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10774,#10775,#10776,#10777), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.338107940825223,0.364984155370695), - .UNSPECIFIED.); -#121=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10778,#10779,#10780,#10781), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.338107940825223,0.364984155370695), - .UNSPECIFIED.); -#122=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10785,#10786,#10787,#10788), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.969017213594233,1.),.UNSPECIFIED.); -#123=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10793,#10794,#10795,#10796), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.969017213594233,1.),.UNSPECIFIED.); -#124=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10807,#10808,#10809,#10810,#10811, -#10812,#10813),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0181282260884805,0.0629682963378987), - .UNSPECIFIED.); -#125=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10815,#10816,#10817,#10818,#10819, -#10820,#10821),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.0181282260884805,0.0629682963378987), - .UNSPECIFIED.); -#126=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10854,#10855,#10856,#10857,#10858, -#10859,#10860,#10861,#10862,#10863,#10864,#10865,#10866,#10867,#10868,#10869, -#10870,#10871,#10872),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(0.0629682963378987, -0.123269448029845,0.17435808518829,0.264491868305191,0.446433337411396, -0.561122364539383,0.668243700516769),.UNSPECIFIED.); -#127=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10874,#10875,#10876,#10877,#10878, -#10879,#10880,#10881,#10882,#10883,#10884,#10885,#10886,#10887,#10888,#10889, -#10890,#10891,#10892),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(0.0629682963378987, -0.123269448029845,0.17435808518829,0.264491868305191,0.446433337411396, -0.561122364539383,0.668243700516769),.UNSPECIFIED.); -#128=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10896,#10897,#10898,#10899), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.30067118155393),.UNSPECIFIED.); -#129=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10904,#10905,#10906,#10907), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.30067118155393),.UNSPECIFIED.); -#130=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10942,#10943,#10944,#10945,#10946, -#10947,#10948,#10949,#10950,#10951,#10952,#10953,#10954,#10955,#10956,#10957, -#10958,#10959,#10960),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(0.30067118155393, -0.412826304831982,0.505083269251684,0.772823797587802,0.840631599785321, -0.885970904940278,1.),.UNSPECIFIED.); -#131=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10961,#10962,#10963,#10964,#10965, -#10966,#10967,#10968,#10969,#10970,#10971,#10972,#10973,#10974,#10975,#10976, -#10977,#10978,#10979),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(0.30067118155393, -0.412826304831982,0.505083269251684,0.772823797587802,0.840631599785321, -0.885970904940278,1.),.UNSPECIFIED.); -#132=B_SPLINE_CURVE_WITH_KNOTS('',3,(#10996,#10997,#10998,#10999,#11000, -#11001,#11002),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.668243700516769,0.901933015002819, -0.969017213594233),.UNSPECIFIED.); -#133=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11003,#11004,#11005,#11006,#11007, -#11008,#11009),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.668243700516769,0.901933015002819, -0.969017213594233),.UNSPECIFIED.); -#134=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11013,#11014),.UNSPECIFIED.,.F.,.F., -(2,2),(0.545454545454546,1.),.UNSPECIFIED.); -#135=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11019,#11020),.UNSPECIFIED.,.F.,.F., -(2,2),(0.545454545454546,1.),.UNSPECIFIED.); -#136=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11024,#11025),.UNSPECIFIED.,.F.,.F., -(2,2),(0.,0.0454545454545456),.UNSPECIFIED.); -#137=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11027,#11028),.UNSPECIFIED.,.F.,.F., -(2,2),(0.,0.0454545454545456),.UNSPECIFIED.); -#138=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11032,#11033),.UNSPECIFIED.,.F.,.F., -(2,2),(0.0454545454545456,0.5),.UNSPECIFIED.); -#139=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11035,#11036),.UNSPECIFIED.,.F.,.F., -(2,2),(0.0454545454545456,0.5),.UNSPECIFIED.); -#140=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11040,#11041),.UNSPECIFIED.,.F.,.F., -(2,2),(0.5,0.545454545454546),.UNSPECIFIED.); -#141=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11042,#11043),.UNSPECIFIED.,.F.,.F., -(2,2),(0.5,0.545454545454546),.UNSPECIFIED.); -#142=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11047,#11048),.UNSPECIFIED.,.F.,.F., -(2,2),(0.704347826086957,1.),.UNSPECIFIED.); -#143=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11053,#11054),.UNSPECIFIED.,.F.,.F., -(2,2),(0.704347826086957,1.),.UNSPECIFIED.); -#144=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11058,#11059),.UNSPECIFIED.,.F.,.F., -(2,2),(0.,0.204347826086957),.UNSPECIFIED.); -#145=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11061,#11062),.UNSPECIFIED.,.F.,.F., -(2,2),(0.,0.204347826086957),.UNSPECIFIED.); -#146=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11066,#11067),.UNSPECIFIED.,.F.,.F., -(2,2),(0.204347826086957,0.5),.UNSPECIFIED.); -#147=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11069,#11070),.UNSPECIFIED.,.F.,.F., -(2,2),(0.204347826086957,0.5),.UNSPECIFIED.); -#148=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11074,#11075),.UNSPECIFIED.,.F.,.F., -(2,2),(0.5,0.704347826086957),.UNSPECIFIED.); -#149=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11076,#11077),.UNSPECIFIED.,.F.,.F., -(2,2),(0.5,0.704347826086957),.UNSPECIFIED.); -#150=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11098,#11099,#11100,#11101,#11102, -#11103,#11104,#11105,#11106,#11107),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.752727155007421, -0.790521626631416,0.855956784167734,1.),.UNSPECIFIED.); -#151=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11112,#11113,#11114,#11115,#11116, -#11117,#11118,#11119,#11120,#11121),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.752727155007421, -0.790521626631416,0.855956784167734,1.),.UNSPECIFIED.); -#152=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11125,#11126,#11127,#11128), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.018125920064569),.UNSPECIFIED.); -#153=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11130,#11131,#11132,#11133), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.018125920064569),.UNSPECIFIED.); -#154=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11154,#11155,#11156,#11157,#11158, -#11159,#11160,#11161,#11162,#11163),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.018125920064569, -0.154648807359408,0.201428187848175,0.234787593924456),.UNSPECIFIED.); -#155=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11165,#11166,#11167,#11168,#11169, -#11170,#11171,#11172,#11173,#11174),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.018125920064569, -0.154648807359408,0.201428187848175,0.234787593924456),.UNSPECIFIED.); -#156=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11178,#11179,#11180,#11181), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.234787593924456,0.399849163874148), - .UNSPECIFIED.); -#157=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11183,#11184,#11185,#11186), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.234787593924456,0.399849163874148), - .UNSPECIFIED.); -#158=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11190,#11191,#11192,#11193), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.399849163874148,0.41778225500186), - .UNSPECIFIED.); -#159=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11195,#11196,#11197,#11198), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.399849163874148,0.41778225500186), - .UNSPECIFIED.); -#160=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11202,#11203,#11204,#11205), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.41778225500186,0.667110070358112), - .UNSPECIFIED.); -#161=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11207,#11208,#11209,#11210), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.41778225500186,0.667110070358112), - .UNSPECIFIED.); -#162=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11214,#11215,#11216,#11217), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.667110070358112,0.685044198170573), - .UNSPECIFIED.); -#163=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11219,#11220,#11221,#11222), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.667110070358112,0.685044198170573), - .UNSPECIFIED.); -#164=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11226,#11227,#11228,#11229), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.685044198170573,0.752727155007421), - .UNSPECIFIED.); -#165=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11230,#11231,#11232,#11233), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.685044198170573,0.752727155007421), - .UNSPECIFIED.); -#166=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11268,#11269,#11270,#11271,#11272, -#11273,#11274,#11275,#11276,#11277,#11278,#11279,#11280,#11281,#11282,#11283, -#11284,#11285,#11286,#11287,#11288,#11289,#11290,#11291,#11292,#11293,#11294, -#11295,#11296),.UNSPECIFIED.,.T.,.F.,(4,3,3,2,3,3,3,3,2,3,4),(0.,0.18618379856684, -0.263328175110235,0.343008087379751,0.409408014271016,0.500118000627397, -0.68742411608973,0.777017065878692,0.843226160813957,0.923292973293812, -1.),.UNSPECIFIED.); -#167=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11298,#11299,#11300,#11301,#11302, -#11303,#11304,#11305,#11306,#11307,#11308,#11309,#11310,#11311,#11312,#11313, -#11314,#11315,#11316,#11317,#11318,#11319,#11320,#11321,#11322,#11323,#11324, -#11325,#11326),.UNSPECIFIED.,.T.,.F.,(4,3,3,2,3,3,3,3,2,3,4),(0.,0.18618379856684, -0.263328175110235,0.343008087379751,0.409408014271016,0.500118000627397, -0.68742411608973,0.777017065878692,0.843226160813957,0.923292973293812, -1.),.UNSPECIFIED.); -#168=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11364,#11365,#11366,#11367,#11368, -#11369,#11370,#11371,#11372,#11373,#11374,#11375,#11376,#11377,#11378,#11379, -#11380,#11381,#11382,#11383,#11384,#11385,#11386,#11387,#11388,#11389,#11390, -#11391),.UNSPECIFIED.,.T.,.F.,(4,2,2,3,3,3,3,2,3,3,4),(0.,0.0857140463515842, -0.171428092703168,0.256014322655388,0.342034665098502,0.498888801998989, -0.585349970397906,0.672025269861396,0.756478125748899,0.842347537249721, -1.),.UNSPECIFIED.); -#169=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11393,#11394,#11395,#11396,#11397, -#11398,#11399,#11400,#11401,#11402,#11403,#11404,#11405,#11406,#11407,#11408, -#11409,#11410,#11411,#11412,#11413,#11414,#11415,#11416,#11417,#11418,#11419, -#11420),.UNSPECIFIED.,.T.,.F.,(4,2,2,3,3,3,3,2,3,3,4),(0.,0.0857140463515842, -0.171428092703168,0.256014322655388,0.342034665098502,0.498888801998989, -0.585349970397906,0.672025269861396,0.756478125748899,0.842347537249721, -1.),.UNSPECIFIED.); -#170=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11425,#11426,#11427,#11428), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.675518864368697,1.),.UNSPECIFIED.); -#171=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11433,#11434,#11435,#11436), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.675518864368697,1.),.UNSPECIFIED.); -#172=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11451,#11452,#11453,#11454,#11455, -#11456,#11457,#11458,#11459,#11460),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0., -0.0349157396237423,0.070568977733045,0.17176240148329),.UNSPECIFIED.); -#173=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11462,#11463,#11464,#11465,#11466, -#11467,#11468,#11469,#11470,#11471),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0., -0.0349157396237423,0.070568977733045,0.17176240148329),.UNSPECIFIED.); -#174=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11475,#11476,#11477,#11478), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.17176240148329,0.198564885445805), - .UNSPECIFIED.); -#175=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11480,#11481,#11482,#11483), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.17176240148329,0.198564885445805), - .UNSPECIFIED.); -#176=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11510,#11511,#11512,#11513,#11514, -#11515,#11516,#11517,#11518,#11519),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.198564885445805, -0.285815524728036,0.333723677841456,0.648716380406182),.UNSPECIFIED.); -#177=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11521,#11522,#11523,#11524,#11525, -#11526,#11527,#11528,#11529,#11530),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.198564885445805, -0.285815524728036,0.333723677841456,0.648716380406182),.UNSPECIFIED.); -#178=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11534,#11535,#11536,#11537), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.648716380406182,0.675518864368697), - .UNSPECIFIED.); -#179=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11538,#11539,#11540,#11541), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.648716380406182,0.675518864368697), - .UNSPECIFIED.); -#180=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11566,#11567,#11568,#11569,#11570, -#11571,#11572,#11573,#11574,#11575),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.692924445471803, -0.756619144605963,0.832829351044379,1.),.UNSPECIFIED.); -#181=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11580,#11581,#11582,#11583,#11584, -#11585,#11586,#11587,#11588,#11589),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.692924445471803, -0.756619144605963,0.832829351044379,1.),.UNSPECIFIED.); -#182=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11593,#11594,#11595,#11596), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0207845860332522),.UNSPECIFIED.); -#183=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11598,#11599,#11600,#11601), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0207845860332522),.UNSPECIFIED.); -#184=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11622,#11623,#11624,#11625,#11626, -#11627,#11628,#11629,#11630,#11631,#11632,#11633,#11634),.UNSPECIFIED., - .F.,.F.,(4,3,3,3,4),(0.0207845860332522,0.175886765679243,0.204243110886752, -0.226878891786877,0.270746704122444),.UNSPECIFIED.); -#185=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11636,#11637,#11638,#11639,#11640, -#11641,#11642,#11643,#11644,#11645,#11646,#11647,#11648),.UNSPECIFIED., - .F.,.F.,(4,3,3,3,4),(0.0207845860332522,0.175886765679243,0.204243110886752, -0.226878891786877,0.270746704122444),.UNSPECIFIED.); -#186=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11652,#11653,#11654,#11655), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.270746704122444,0.460489860490522), - .UNSPECIFIED.); -#187=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11657,#11658,#11659,#11660), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.270746704122444,0.460489860490522), - .UNSPECIFIED.); -#188=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11664,#11665,#11666,#11667), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.460489860490522,0.48127925220847), - .UNSPECIFIED.); -#189=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11669,#11670,#11671,#11672), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.460489860490522,0.48127925220847), - .UNSPECIFIED.); -#190=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11676,#11677,#11678,#11679), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.48127925220847,0.692924445471803), - .UNSPECIFIED.); -#191=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11680,#11681,#11682,#11683), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.48127925220847,0.692924445471803), - .UNSPECIFIED.); -#192=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11687,#11688),.UNSPECIFIED.,.F.,.F., -(2,2),(0.833979053900067,1.),.UNSPECIFIED.); -#193=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11693,#11694),.UNSPECIFIED.,.F.,.F., -(2,2),(0.833979053900067,1.),.UNSPECIFIED.); -#194=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11698,#11699),.UNSPECIFIED.,.F.,.F., -(2,2),(0.,0.011904354654814),.UNSPECIFIED.); -#195=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11701,#11702),.UNSPECIFIED.,.F.,.F., -(2,2),(0.,0.011904354654814),.UNSPECIFIED.); -#196=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11706,#11707),.UNSPECIFIED.,.F.,.F., -(2,2),(0.011904354654814,0.145796343568099),.UNSPECIFIED.); -#197=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11709,#11710),.UNSPECIFIED.,.F.,.F., -(2,2),(0.011904354654814,0.145796343568099),.UNSPECIFIED.); -#198=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11714,#11715),.UNSPECIFIED.,.F.,.F., -(2,2),(0.145796343568099,0.225653182277389),.UNSPECIFIED.); -#199=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11717,#11718),.UNSPECIFIED.,.F.,.F., -(2,2),(0.145796343568099,0.225653182277389),.UNSPECIFIED.); -#200=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11722,#11723),.UNSPECIFIED.,.F.,.F., -(2,2),(0.225653182277389,0.233717422527424),.UNSPECIFIED.); -#201=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11725,#11726),.UNSPECIFIED.,.F.,.F., -(2,2),(0.225653182277389,0.233717422527424),.UNSPECIFIED.); -#202=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11730,#11731),.UNSPECIFIED.,.F.,.F., -(2,2),(0.233717422527424,0.31340254666186),.UNSPECIFIED.); -#203=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11733,#11734),.UNSPECIFIED.,.F.,.F., -(2,2),(0.233717422527424,0.31340254666186),.UNSPECIFIED.); -#204=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11738,#11739),.UNSPECIFIED.,.F.,.F., -(2,2),(0.31340254666186,0.447166531761652),.UNSPECIFIED.); -#205=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11741,#11742),.UNSPECIFIED.,.F.,.F., -(2,2),(0.31340254666186,0.447166531761652),.UNSPECIFIED.); -#206=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11746,#11747),.UNSPECIFIED.,.F.,.F., -(2,2),(0.447166531761652,0.459198890229959),.UNSPECIFIED.); -#207=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11749,#11750),.UNSPECIFIED.,.F.,.F., -(2,2),(0.447166531761652,0.459198890229959),.UNSPECIFIED.); -#208=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11754,#11755),.UNSPECIFIED.,.F.,.F., -(2,2),(0.459198890229959,0.625219836329892),.UNSPECIFIED.); -#209=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11757,#11758),.UNSPECIFIED.,.F.,.F., -(2,2),(0.459198890229959,0.625219836329892),.UNSPECIFIED.); -#210=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11762,#11763),.UNSPECIFIED.,.F.,.F., -(2,2),(0.625219836329892,0.635975203941308),.UNSPECIFIED.); -#211=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11765,#11766),.UNSPECIFIED.,.F.,.F., -(2,2),(0.625219836329892,0.635975203941308),.UNSPECIFIED.); -#212=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11770,#11771),.UNSPECIFIED.,.F.,.F., -(2,2),(0.635975203941308,0.729574792407748),.UNSPECIFIED.); -#213=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11773,#11774),.UNSPECIFIED.,.F.,.F., -(2,2),(0.635975203941308,0.729574792407748),.UNSPECIFIED.); -#214=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11778,#11779),.UNSPECIFIED.,.F.,.F., -(2,2),(0.729574792407748,0.822839779898476),.UNSPECIFIED.); -#215=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11781,#11782),.UNSPECIFIED.,.F.,.F., -(2,2),(0.729574792407748,0.822839779898476),.UNSPECIFIED.); -#216=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11786,#11787),.UNSPECIFIED.,.F.,.F., -(2,2),(0.822839779898476,0.833979053900067),.UNSPECIFIED.); -#217=B_SPLINE_CURVE_WITH_KNOTS('',1,(#11788,#11789),.UNSPECIFIED.,.F.,.F., -(2,2),(0.822839779898476,0.833979053900067),.UNSPECIFIED.); -#218=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11793,#11794,#11795,#11796), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.972220433605943,1.),.UNSPECIFIED.); -#219=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11801,#11802,#11803,#11804), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.972220433605943,1.),.UNSPECIFIED.); -#220=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11827,#11828,#11829,#11830,#11831, -#11832,#11833,#11834,#11835,#11836,#11837,#11838,#11839),.UNSPECIFIED., - .F.,.F.,(4,3,3,3,4),(0.,0.0939776820564892,0.13305639699573,0.171977206389889, -0.3483681927365),.UNSPECIFIED.); -#221=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11841,#11842,#11843,#11844,#11845, -#11846,#11847,#11848,#11849,#11850,#11851,#11852,#11853),.UNSPECIFIED., - .F.,.F.,(4,3,3,3,4),(0.,0.0939776820564892,0.13305639699573,0.171977206389889, -0.3483681927365),.UNSPECIFIED.); -#222=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11872,#11873,#11874,#11875,#11876, -#11877,#11878,#11879,#11880,#11881,#11882,#11883,#11884),.UNSPECIFIED., - .F.,.F.,(4,3,3,3,4),(0.3483681927365,0.362703551709427,0.411902282903716, -0.439324807856169,0.518536109933617),.UNSPECIFIED.); -#223=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11886,#11887,#11888,#11889,#11890, -#11891,#11892,#11893,#11894,#11895,#11896,#11897,#11898),.UNSPECIFIED., - .F.,.F.,(4,3,3,3,4),(0.3483681927365,0.362703551709427,0.411902282903716, -0.439324807856169,0.518536109933617),.UNSPECIFIED.); -#224=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11902,#11903,#11904,#11905), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.518536109933617,0.544246985213222), - .UNSPECIFIED.); -#225=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11907,#11908,#11909,#11910), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.518536109933617,0.544246985213222), - .UNSPECIFIED.); -#226=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11935,#11936,#11937,#11938,#11939, -#11940,#11941,#11942,#11943,#11944),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.544246985213222, -0.627290157093327,0.676040643969868,0.847446479167239),.UNSPECIFIED.); -#227=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11946,#11947,#11948,#11949,#11950, -#11951,#11952,#11953,#11954,#11955),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.544246985213222, -0.627290157093327,0.676040643969868,0.847446479167239),.UNSPECIFIED.); -#228=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11968,#11969,#11970,#11971,#11972, -#11973,#11974),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.847446479167239,0.88799315477281, -0.972220433605943),.UNSPECIFIED.); -#229=B_SPLINE_CURVE_WITH_KNOTS('',3,(#11975,#11976,#11977,#11978,#11979, -#11980,#11981),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.847446479167239,0.88799315477281, -0.972220433605943),.UNSPECIFIED.); -#230=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12002,#12003,#12004,#12005,#12006, -#12007,#12008,#12009,#12010,#12011),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.752903653119276, -0.790507781097844,0.855947263589793,1.),.UNSPECIFIED.); -#231=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12016,#12017,#12018,#12019,#12020, -#12021,#12022,#12023,#12024,#12025),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.752903653119276, -0.790507781097844,0.855947263589793,1.),.UNSPECIFIED.); -#232=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12029,#12030,#12031,#12032), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.017934276420548),.UNSPECIFIED.); -#233=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12034,#12035,#12036,#12037), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.017934276420548),.UNSPECIFIED.); -#234=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12058,#12059,#12060,#12061,#12062, -#12063,#12064,#12065,#12066,#12067),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.017934276420548, -0.154466187235042,0.201375417042336,0.234544186336252),.UNSPECIFIED.); -#235=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12069,#12070,#12071,#12072,#12073, -#12074,#12075,#12076,#12077,#12078),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.017934276420548, -0.154466187235042,0.201375417042336,0.234544186336252),.UNSPECIFIED.); -#236=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12082,#12083,#12084,#12085), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.234544186336252,0.39961666607807), - .UNSPECIFIED.); -#237=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12087,#12088,#12089,#12090), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.234544186336252,0.39961666607807), - .UNSPECIFIED.); -#238=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12094,#12095,#12096,#12097), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.39961666607807,0.417743784180559), - .UNSPECIFIED.); -#239=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12099,#12100,#12101,#12102), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.39961666607807,0.417743784180559), - .UNSPECIFIED.); -#240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12106,#12107,#12108,#12109), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.417743784180559,0.667088078930757), - .UNSPECIFIED.); -#241=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12111,#12112,#12113,#12114), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.417743784180559,0.667088078930757), - .UNSPECIFIED.); -#242=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12118,#12119,#12120,#12121), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.667088078930757,0.685216222757853), - .UNSPECIFIED.); -#243=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12123,#12124,#12125,#12126), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.667088078930757,0.685216222757853), - .UNSPECIFIED.); -#244=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12130,#12131,#12132,#12133), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.685216222757853,0.752903653119276), - .UNSPECIFIED.); -#245=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12134,#12135,#12136,#12137), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.685216222757853,0.752903653119276), - .UNSPECIFIED.); -#246=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12164,#12165,#12166,#12167,#12168, -#12169,#12170,#12171,#12172,#12173),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.556640892407181, -0.91874424245886,0.958437208415542,1.),.UNSPECIFIED.); -#247=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12178,#12179,#12180,#12181,#12182, -#12183,#12184,#12185,#12186,#12187),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.556640892407181, -0.91874424245886,0.958437208415542,1.),.UNSPECIFIED.); -#248=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12191,#12192,#12193,#12194), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0306370071150834),.UNSPECIFIED.); -#249=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12196,#12197,#12198,#12199), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0306370071150834),.UNSPECIFIED.); -#250=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12224,#12225,#12226,#12227,#12228, -#12229,#12230,#12231,#12232,#12233),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.0306370071150834, -0.116592224066701,0.163234002224406,0.526003885292098),.UNSPECIFIED.); -#251=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12235,#12236,#12237,#12238,#12239, -#12240,#12241,#12242,#12243,#12244),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.0306370071150834, -0.116592224066701,0.163234002224406,0.526003885292098),.UNSPECIFIED.); -#252=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12248,#12249,#12250,#12251), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.526003885292098,0.556640892407181), - .UNSPECIFIED.); -#253=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12252,#12253,#12254,#12255), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.526003885292098,0.556640892407181), - .UNSPECIFIED.); -#254=B_SPLINE_CURVE_WITH_KNOTS('',1,(#12259,#12260),.UNSPECIFIED.,.F.,.F., -(2,2),(0.973234624145786,1.),.UNSPECIFIED.); -#255=B_SPLINE_CURVE_WITH_KNOTS('',1,(#12265,#12266),.UNSPECIFIED.,.F.,.F., -(2,2),(0.973234624145786,1.),.UNSPECIFIED.); -#256=B_SPLINE_CURVE_WITH_KNOTS('',1,(#12270,#12271),.UNSPECIFIED.,.F.,.F., -(2,2),(0.,0.130410022779043),.UNSPECIFIED.); -#257=B_SPLINE_CURVE_WITH_KNOTS('',1,(#12273,#12274),.UNSPECIFIED.,.F.,.F., -(2,2),(0.,0.130410022779043),.UNSPECIFIED.); -#258=B_SPLINE_CURVE_WITH_KNOTS('',1,(#12278,#12279),.UNSPECIFIED.,.F.,.F., -(2,2),(0.130410022779043,0.5),.UNSPECIFIED.); -#259=B_SPLINE_CURVE_WITH_KNOTS('',1,(#12281,#12282),.UNSPECIFIED.,.F.,.F., -(2,2),(0.130410022779043,0.5),.UNSPECIFIED.); -#260=B_SPLINE_CURVE_WITH_KNOTS('',1,(#12286,#12287),.UNSPECIFIED.,.F.,.F., -(2,2),(0.5,0.526480637813212),.UNSPECIFIED.); -#261=B_SPLINE_CURVE_WITH_KNOTS('',1,(#12289,#12290),.UNSPECIFIED.,.F.,.F., -(2,2),(0.5,0.526480637813212),.UNSPECIFIED.); -#262=B_SPLINE_CURVE_WITH_KNOTS('',1,(#12294,#12295),.UNSPECIFIED.,.F.,.F., -(2,2),(0.526480637813212,0.869305239179955),.UNSPECIFIED.); -#263=B_SPLINE_CURVE_WITH_KNOTS('',1,(#12297,#12298),.UNSPECIFIED.,.F.,.F., -(2,2),(0.526480637813212,0.869305239179955),.UNSPECIFIED.); -#264=B_SPLINE_CURVE_WITH_KNOTS('',1,(#12302,#12303),.UNSPECIFIED.,.F.,.F., -(2,2),(0.869305239179955,0.973234624145786),.UNSPECIFIED.); -#265=B_SPLINE_CURVE_WITH_KNOTS('',1,(#12304,#12305),.UNSPECIFIED.,.F.,.F., -(2,2),(0.869305239179955,0.973234624145786),.UNSPECIFIED.); -#266=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12309,#12310,#12311,#12312), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.973597557162773,1.),.UNSPECIFIED.); -#267=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12317,#12318,#12319,#12320), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.973597557162773,1.),.UNSPECIFIED.); -#268=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12335,#12336,#12337,#12338,#12339, -#12340,#12341,#12342,#12343,#12344),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0., -0.0328871772389796,0.0552293275107701,0.119245624789164),.UNSPECIFIED.); -#269=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12346,#12347,#12348,#12349,#12350, -#12351,#12352,#12353,#12354,#12355),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0., -0.0328871772389796,0.0552293275107701,0.119245624789164),.UNSPECIFIED.); -#270=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12359,#12360,#12361,#12362), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.119245624789164,0.17418404119794), - .UNSPECIFIED.); -#271=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12364,#12365,#12366,#12367), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.119245624789164,0.17418404119794), - .UNSPECIFIED.); -#272=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12371,#12372,#12373,#12374), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.17418404119794,0.198452953300845), - .UNSPECIFIED.); -#273=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12376,#12377,#12378,#12379), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.17418404119794,0.198452953300845), - .UNSPECIFIED.); -#274=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12383,#12384,#12385,#12386), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.198452953300845,0.253391369709621), - .UNSPECIFIED.); -#275=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12388,#12389,#12390,#12391), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.198452953300845,0.253391369709621), - .UNSPECIFIED.); -#276=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12395,#12396,#12397,#12398), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.253391369709621,0.447542666532866), - .UNSPECIFIED.); -#277=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12400,#12401,#12402,#12403), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.253391369709621,0.447542666532866), - .UNSPECIFIED.); -#278=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12407,#12408,#12409,#12410), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.447542666532866,0.472344961319351), - .UNSPECIFIED.); -#279=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12412,#12413,#12414,#12415), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.447542666532866,0.472344961319351), - .UNSPECIFIED.); -#280=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12419,#12420,#12421,#12422), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.472344961319351,0.666496258142596), - .UNSPECIFIED.); -#281=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12424,#12425,#12426,#12427), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.472344961319351,0.666496258142596), - .UNSPECIFIED.); -#282=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12431,#12432,#12433,#12434), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.666496258142596,0.701432823917109), - .UNSPECIFIED.); -#283=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12436,#12437,#12438,#12439), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.666496258142596,0.701432823917109), - .UNSPECIFIED.); -#284=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12443,#12444,#12445,#12446), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.701432823917109,0.725701736020014), - .UNSPECIFIED.); -#285=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12448,#12449,#12450,#12451), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.701432823917109,0.725701736020014), - .UNSPECIFIED.); -#286=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12455,#12456,#12457,#12458), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.725701736020014,0.760638301794527), - .UNSPECIFIED.); -#287=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12460,#12461,#12462,#12463), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.725701736020014,0.760638301794527), - .UNSPECIFIED.); -#288=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12482,#12483,#12484,#12485,#12486, -#12487,#12488,#12489,#12490,#12491),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.760638301794527, -0.834511803470404,0.915191988168734,0.948528571034497),.UNSPECIFIED.); -#289=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12493,#12494,#12495,#12496,#12497, -#12498,#12499,#12500,#12501,#12502),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.760638301794527, -0.834511803470404,0.915191988168734,0.948528571034497),.UNSPECIFIED.); -#290=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12506,#12507,#12508,#12509), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.948528571034497,0.973597557162773), - .UNSPECIFIED.); -#291=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12510,#12511,#12512,#12513), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.948528571034497,0.973597557162773), - .UNSPECIFIED.); -#292=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12517,#12518,#12519,#12520), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.973594020286577,1.),.UNSPECIFIED.); -#293=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12525,#12526,#12527,#12528), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.973594020286577,1.),.UNSPECIFIED.); -#294=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12541,#12542,#12543,#12544,#12545, -#12546,#12547,#12548,#12549,#12550),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0., -0.0327350956054779,0.0551267204561999,0.1191276387678),.UNSPECIFIED.); -#295=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12552,#12553,#12554,#12555,#12556, -#12557,#12558,#12559,#12560,#12561),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0., -0.0327350956054779,0.0551267204561999,0.1191276387678),.UNSPECIFIED.); -#296=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12565,#12566,#12567,#12568), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.1191276387678,0.174073414737146), - .UNSPECIFIED.); -#297=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12570,#12571,#12572,#12573), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.1191276387678,0.174073414737146), - .UNSPECIFIED.); -#298=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12577,#12578,#12579,#12580), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.174073414737146,0.198345577908071), - .UNSPECIFIED.); -#299=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12582,#12583,#12584,#12585), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.174073414737146,0.198345577908071), - .UNSPECIFIED.); -#300=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12589,#12590,#12591,#12592), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.198345577908071,0.253291353877416), - .UNSPECIFIED.); -#301=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12594,#12595,#12596,#12597), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.198345577908071,0.253291353877416), - .UNSPECIFIED.); -#302=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12601,#12602,#12603,#12604), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.253291353877416,0.447468659244813), - .UNSPECIFIED.); -#303=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12606,#12607,#12608,#12609), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.253291353877416,0.447468659244813), - .UNSPECIFIED.); -#304=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12613,#12614,#12615,#12616), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.447468659244813,0.472274276551363), - .UNSPECIFIED.); -#305=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12618,#12619,#12620,#12621), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.447468659244813,0.472274276551363), - .UNSPECIFIED.); -#306=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12625,#12626,#12627,#12628), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.472274276551363,0.66645158191876), - .UNSPECIFIED.); -#307=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12630,#12631,#12632,#12633), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.472274276551363,0.66645158191876), - .UNSPECIFIED.); -#308=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12637,#12638,#12639,#12640), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.66645158191876,0.701392827802179), - .UNSPECIFIED.); -#309=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12642,#12643,#12644,#12645), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.66645158191876,0.701392827802179), - .UNSPECIFIED.); -#310=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12649,#12650,#12651,#12652), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.701392827802179,0.725664990973103), - .UNSPECIFIED.); -#311=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12654,#12655,#12656,#12657), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.701392827802179,0.725664990973103), - .UNSPECIFIED.); -#312=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12661,#12662,#12663,#12664), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.725664990973103,0.760606236856522), - .UNSPECIFIED.); -#313=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12666,#12667,#12668,#12669), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.725664990973103,0.760606236856522), - .UNSPECIFIED.); -#314=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12688,#12689,#12690,#12691,#12692, -#12693,#12694,#12695,#12696,#12697),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.760606236856522, -0.834489634640545,0.915180627271556,0.948521675912215),.UNSPECIFIED.); -#315=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12699,#12700,#12701,#12702,#12703, -#12704,#12705,#12706,#12707,#12708),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.760606236856522, -0.834489634640545,0.915180627271556,0.948521675912215),.UNSPECIFIED.); -#316=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12712,#12713,#12714,#12715), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.948521675912215,0.973594020286577), - .UNSPECIFIED.); -#317=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12716,#12717,#12718,#12719), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.948521675912215,0.973594020286577), - .UNSPECIFIED.); -#318=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12723,#12724,#12725,#12726), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.972178948440806,1.),.UNSPECIFIED.); -#319=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12731,#12732,#12733,#12734), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.972178948440806,1.),.UNSPECIFIED.); -#320=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12738,#12739,#12740,#12741), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0295174571420715),.UNSPECIFIED.); -#321=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12743,#12744,#12745,#12746), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.0295174571420715),.UNSPECIFIED.); -#322=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12759,#12760,#12761,#12762,#12763, -#12764,#12765),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.0295174571420715,0.125644307046116, -0.152447515255583),.UNSPECIFIED.); -#323=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12767,#12768,#12769,#12770,#12771, -#12772,#12773),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.0295174571420715,0.125644307046116, -0.152447515255583),.UNSPECIFIED.); -#324=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12777,#12778,#12779,#12780), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.152447515255583,0.442872151044241), - .UNSPECIFIED.); -#325=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12782,#12783,#12784,#12785), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.152447515255583,0.442872151044241), - .UNSPECIFIED.); -#326=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12789,#12790,#12791,#12792), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.442872151044241,0.474764576002341), - .UNSPECIFIED.); -#327=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12794,#12795,#12796,#12797), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.442872151044241,0.474764576002341), - .UNSPECIFIED.); -#328=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12801,#12802,#12803,#12804), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.474764576002341,0.794706668933071), - .UNSPECIFIED.); -#329=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12806,#12807,#12808,#12809), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.474764576002341,0.794706668933071), - .UNSPECIFIED.); -#330=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12826,#12827,#12828,#12829,#12830, -#12831,#12832,#12833,#12834,#12835),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.794706668933071, -0.842206025253646,0.926400594535291,0.972178948440806),.UNSPECIFIED.); -#331=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12836,#12837,#12838,#12839,#12840, -#12841,#12842,#12843,#12844,#12845),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.794706668933071, -0.842206025253646,0.926400594535291,0.972178948440806),.UNSPECIFIED.); -#332=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12859,#12860,#12861,#12862), - .UNSPECIFIED.,.F.,.F.,(4,4),(3.97475081924031E-18,0.0010578731503321), - .UNSPECIFIED.); -#333=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12864,#12865,#12866,#12867), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.00256818308999864,0.00342564216151066), - .UNSPECIFIED.); -#334=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12871,#12872,#12873,#12874), - .UNSPECIFIED.,.F.,.F.,(4,4),(2.00220087179061E-7,0.000856975818666863), - .UNSPECIFIED.); -#335=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12876,#12877,#12878,#12879), - .UNSPECIFIED.,.F.,.F.,(4,4),(2.21134721367331E-18,0.00105787315033214), - .UNSPECIFIED.); -#336=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12883,#12884,#12885,#12886), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000785057363406712),.UNSPECIFIED.); -#337=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12888,#12889,#12890,#12891,#12892), - .UNSPECIFIED.,.F.,.F.,(4,1,4),(3.88501576117243E-18,0.000964730454956032, -0.00192946090991206),.UNSPECIFIED.); -#338=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12894,#12895,#12896,#12897), - .UNSPECIFIED.,.F.,.F.,(4,4),(7.18196993269131E-18,0.000787310017303266), - .UNSPECIFIED.); -#339=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12899,#12900,#12901,#12902), - .UNSPECIFIED.,.F.,.F.,(4,4),(2.00220087179081E-7,0.000856975818666862), - .UNSPECIFIED.); -#340=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12905,#12906,#12907,#12908), - .UNSPECIFIED.,.F.,.F.,(4,4),(2.4532694666934E-18,0.00105787315033214), - .UNSPECIFIED.); -#341=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12912,#12913,#12914,#12915), - .UNSPECIFIED.,.F.,.F.,(4,4),(8.67361737988404E-19,0.000785057363406691), - .UNSPECIFIED.); -#342=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12917,#12918,#12919,#12920,#12921), - .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.000964730454956027,0.00192946090991205), - .UNSPECIFIED.); -#343=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12923,#12924,#12925,#12926), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000787310017303264),.UNSPECIFIED.); -#344=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12927,#12928,#12929,#12930), - .UNSPECIFIED.,.F.,.F.,(4,4),(3.97475081924031E-18,0.0010578731503321), - .UNSPECIFIED.); -#345=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12932,#12933,#12934,#12935), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.00256818308999863,0.00342564216151066), - .UNSPECIFIED.); -#346=B_SPLINE_CURVE_WITH_KNOTS('',3,(#12997,#12998,#12999,#13000), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000176490148508798),.UNSPECIFIED.); -#347=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13002,#13003,#13004,#13005), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,8.35972757458132E-5),.UNSPECIFIED.); -#348=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13009,#13010,#13011,#13012), - .UNSPECIFIED.,.F.,.F.,(4,4),(-9.34538110736937E-6,0.000167144767401406), - .UNSPECIFIED.); -#349=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13018,#13019,#13020,#13021), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,8.35972757458141E-5),.UNSPECIFIED.); -#350=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13023,#13024,#13025,#13026), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00100223132773575),.UNSPECIFIED.); -#351=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13028,#13029,#13030,#13031), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,8.35972757458159E-5),.UNSPECIFIED.); -#352=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13034,#13035,#13036,#13037), - .UNSPECIFIED.,.F.,.F.,(4,4),(-9.3453811073698E-6,0.000167144767401418), - .UNSPECIFIED.); -#353=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13044,#13045,#13046,#13047), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000176490148508805),.UNSPECIFIED.); -#354=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13049,#13050,#13051,#13052), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,8.35972757458152E-5),.UNSPECIFIED.); -#355=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13056,#13057,#13058,#13059), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00100223132773575),.UNSPECIFIED.); -#356=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13076,#13077,#13078,#13079,#13080), - .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.00040049361623741,0.000800987232474821), - .UNSPECIFIED.); -#357=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13096,#13097,#13098,#13099,#13100), - .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.000400493616236654,0.000800987232473308), - .UNSPECIFIED.); -#358=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13133,#13134,#13135,#13136,#13137), - .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.000400493616235719,0.000800987232471438), - .UNSPECIFIED.); -#359=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13153,#13154,#13155,#13156,#13157), - .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.000400493616237422,0.000800987232474844), - .UNSPECIFIED.); -#360=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13180,#13181,#13182,#13183), - .UNSPECIFIED.,.F.,.F.,(4,4),(3.97475081924031E-18,0.0010578731503321), - .UNSPECIFIED.); -#361=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13204,#13205,#13206,#13207,#13208), - .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.000400493616236654,0.000800987232473308), - .UNSPECIFIED.); -#362=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13211,#13212,#13213,#13214), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000176490148508805),.UNSPECIFIED.); -#363=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13215,#13216,#13217,#13218), - .UNSPECIFIED.,.F.,.F.,(4,4),(7.19505185597807E-18,0.000787310017303266), - .UNSPECIFIED.); -#364=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13234,#13235,#13236,#13237), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00100223132773575),.UNSPECIFIED.); -#365=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13240,#13241,#13242,#13243), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,8.35972757458152E-5),.UNSPECIFIED.); -#366=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13244,#13245,#13246,#13247,#13248), - .UNSPECIFIED.,.F.,.F.,(4,1,4),(3.88501576117243E-18,0.000964730454956032, -0.00192946090991206),.UNSPECIFIED.); -#367=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13250,#13251,#13252,#13253,#13254), - .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.00040049361623741,0.00080098723247482), - .UNSPECIFIED.); -#368=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13256,#13257,#13258,#13259), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,8.35972757458161E-5),.UNSPECIFIED.); -#369=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13272,#13273,#13274,#13275), - .UNSPECIFIED.,.F.,.F.,(4,4),(-9.3453811073698E-6,0.000167144767401418), - .UNSPECIFIED.); -#370=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13277,#13278,#13279,#13280), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000785057363406712),.UNSPECIFIED.); -#371=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13290,#13291,#13292,#13293), - .UNSPECIFIED.,.F.,.F.,(4,4),(2.49130692054224E-18,0.00105787315033214), - .UNSPECIFIED.); -#372=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13299,#13300,#13301,#13302), - .UNSPECIFIED.,.F.,.F.,(4,4),(2.16840434497101E-18,0.00105787315033214), - .UNSPECIFIED.); -#373=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13317,#13318,#13319,#13320), - .UNSPECIFIED.,.F.,.F.,(4,4),(3.97475081924031E-18,0.0010578731503321), - .UNSPECIFIED.); -#374=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13340,#13341,#13342,#13343,#13344), - .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.000400493616237422,0.000800987232474844), - .UNSPECIFIED.); -#375=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13347,#13348,#13349,#13350), - .UNSPECIFIED.,.F.,.F.,(4,4),(8.67361737988404E-19,0.000785057363406691), - .UNSPECIFIED.); -#376=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13351,#13352,#13353,#13354), - .UNSPECIFIED.,.F.,.F.,(4,4),(-9.34538110736937E-6,0.000167144767401406), - .UNSPECIFIED.); -#377=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13376,#13377,#13378,#13379,#13380), - .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.000400493616235719,0.000800987232471437), - .UNSPECIFIED.); -#378=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13383,#13384,#13385,#13386,#13387), - .UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.000964730454956027,0.00192946090991205), - .UNSPECIFIED.); -#379=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13388,#13389,#13390,#13391), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,8.3597275745814E-5),.UNSPECIFIED.); -#380=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13393,#13394,#13395,#13396), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00100223132773575),.UNSPECIFIED.); -#381=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13398,#13399,#13400,#13401), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,8.35972757458132E-5),.UNSPECIFIED.); -#382=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13414,#13415,#13416,#13417), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000787310017303263),.UNSPECIFIED.); -#383=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13418,#13419,#13420,#13421), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000176490148508798),.UNSPECIFIED.); -#384=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13449,#13450,#13451,#13452), - .UNSPECIFIED.,.F.,.F.,(4,4),(2.00220087179061E-7,0.000856975818666863), - .UNSPECIFIED.); -#385=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13454,#13455,#13456,#13457), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.00256818308999863,0.00342564216151066), - .UNSPECIFIED.); -#386=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13460,#13461,#13462,#13463), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.00256818308999864,0.00342564216151066), - .UNSPECIFIED.); -#387=B_SPLINE_CURVE_WITH_KNOTS('',3,(#13467,#13468,#13469,#13470), - .UNSPECIFIED.,.F.,.F.,(4,4),(2.00220087179081E-7,0.000856975818666863), - .UNSPECIFIED.); -#388=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#9811,#9812),(#9813,#9814),(#9815, -#9816),(#9817,#9818),(#9819,#9820),(#9821,#9822),(#9823,#9824),(#9825,#9826), -(#9827,#9828),(#9829,#9830),(#9831,#9832),(#9833,#9834),(#9835,#9836),(#9837, -#9838),(#9839,#9840)),.SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,1, -1,1,1,1,1,1,1,4),(2,2),(0.36497952086483,0.444357080756726,0.484045860702675, -0.523734640648623,0.563423420594571,0.603112200540519,0.682489760432415, -0.722178540378363,0.761867320324311,0.841244880216208,0.920622440108104, -0.960311220054052,1.),(0.,1.),.UNSPECIFIED.); -#389=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#9913,#9914),(#9915,#9916),(#9917, -#9918),(#9919,#9920),(#9921,#9922),(#9923,#9924),(#9925,#9926),(#9927,#9928), -(#9929,#9930)),.SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,1,1,4),(2, -2),(0.128947009015388,0.181236168658765,0.207380748480453,0.233525328302142, -0.25966990812383,0.285814487945519,0.338103647588895),(0.,1.), - .UNSPECIFIED.); -#390=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#9981,#9982),(#9983,#9984),(#9985, -#9986),(#9987,#9988),(#9989,#9990),(#9991,#9992),(#9993,#9994)), - .SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,4),(2,2),(0.,0.148160785137487, -0.296321570274973,0.44448235541246,0.592643140549946),(0.,1.), - .UNSPECIFIED.); -#391=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10036,#10037),(#10038,#10039), -(#10040,#10041),(#10042,#10043),(#10044,#10045),(#10046,#10047),(#10048, -#10049),(#10050,#10051),(#10052,#10053),(#10054,#10055),(#10056,#10057), -(#10058,#10059)),.SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,1,1,1, -1,1,4),(2,2),(0.556268169960333,0.66720112747025,0.778134084980167,0.833600563735125, -0.889067042490083,0.916800281867562,0.944533521245042,0.958400140933781, -0.972266760622521,1.),(0.,1.),.UNSPECIFIED.); -#392=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10098,#10099),(#10100,#10101), -(#10102,#10103),(#10104,#10105),(#10106,#10107),(#10108,#10109),(#10110, -#10111),(#10112,#10113),(#10114,#10115),(#10116,#10117),(#10118,#10119)), - .SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(2,2),(0.0306175670482868, -0.0925374113810551,0.123497333547439,0.154457255713823,0.185417177880207, -0.216377100046592,0.27829694437936,0.402136633044896,0.525976321710433), -(0.,1.),.UNSPECIFIED.); -#393=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10154,#10155),(#10156,#10157), -(#10158,#10159),(#10160,#10161),(#10162,#10163),(#10164,#10165),(#10166, -#10167),(#10168,#10169),(#10170,#10171),(#10172,#10173),(#10174,#10175), -(#10176,#10177),(#10178,#10179),(#10180,#10181),(#10182,#10183)), - .SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,4),(2, -2),(0.365197205355406,0.44454755468598,0.484222729351267,0.523897904016555, -0.563573078681842,0.603248253347129,0.682598602677703,0.72227377734299, -0.761948952008277,0.841299301338851,0.920649650669426,0.960324825334713, -1.),(0.,1.),.UNSPECIFIED.); -#394=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10256,#10257),(#10258,#10259), -(#10260,#10261),(#10262,#10263),(#10264,#10265),(#10266,#10267),(#10268, -#10269),(#10270,#10271),(#10272,#10273)),.SURF_OF_LINEAR_EXTRUSION.,.F., - .F.,.F.,(4,1,1,1,1,1,4),(2,2),(0.1292432917038,0.181512262694048,0.207646748189172, -0.233781233684296,0.25991571917942,0.286050204674544,0.338319175664792), -(0.,1.),.UNSPECIFIED.); -#395=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10324,#10325),(#10326,#10327), -(#10328,#10329),(#10330,#10331),(#10332,#10333),(#10334,#10335),(#10336, -#10337)),.SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,4),(2,2),(0.,0.14821341644778, -0.29642683289556,0.44464024934334,0.59285366579112),(0.,1.), - .UNSPECIFIED.); -#396=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10377,#10378),(#10379,#10380), -(#10381,#10382),(#10383,#10384),(#10385,#10386),(#10387,#10388),(#10389, -#10390),(#10391,#10392),(#10393,#10394),(#10395,#10396),(#10397,#10398), -(#10399,#10400),(#10401,#10402),(#10403,#10404),(#10405,#10406),(#10407, -#10408)),.SURF_OF_LINEAR_EXTRUSION.,.T.,.F.,.F.,(1,1,1,1,1,1,1,1,1,1,1, -1,1,1,1,1,1,1,1,1),(2,2),(-0.227946844344476,-0.156117206860627,-0.0842875693767791, -0.,0.0884368783530575,0.176873756706115,0.259004249263491,0.330445100965653, -0.401885952667814,0.495204109809462,0.586507040048694,0.677809970287925, -0.724931562971725,0.772053155655524,0.843882793139373,0.915712430623221, -1.,1.08843687835306,1.17687375670611,1.25900424926349),(0.,1.), - .UNSPECIFIED.); -#397=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10469,#10470),(#10471,#10472), -(#10473,#10474),(#10475,#10476),(#10477,#10478),(#10479,#10480),(#10481, -#10482),(#10483,#10484),(#10485,#10486),(#10487,#10488),(#10489,#10490), -(#10491,#10492),(#10493,#10494),(#10495,#10496),(#10497,#10498),(#10499, -#10500)),.SURF_OF_LINEAR_EXTRUSION.,.T.,.F.,.F.,(1,1,1,1,1,1,1,1,1,1,1, -1,1,1,1,1,1,1,1,1),(2,2),(-0.295063770288187,-0.170728210127724,-0.0853641050638623, -0.,0.0607981013665131,0.121596202733026,0.243192405466052,0.29013194904296, -0.337071492619867,0.413924313890111,0.490777135160355,0.535688902355852, -0.58060066955135,0.704936229711813,0.829271789872276,0.914635894936138, -1.,1.06079810136651,1.12159620273303,1.24319240546605),(0.,1.), - .UNSPECIFIED.); -#398=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10559,#10560),(#10561,#10562), -(#10563,#10564),(#10565,#10566),(#10567,#10568),(#10569,#10570),(#10571, -#10572),(#10573,#10574),(#10575,#10576),(#10577,#10578),(#10579,#10580), -(#10581,#10582),(#10583,#10584),(#10585,#10586),(#10587,#10588)), - .SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,4),(2, -2),(0.364984155370695,0.444361135949358,0.484049626238689,0.523738116528021, -0.563426606817353,0.603115097106684,0.682492077685347,0.722180567974679, -0.76186905826401,0.841246038842674,0.920623019421337,0.960311509710668, -1.),(0.,1.),.UNSPECIFIED.); -#399=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10661,#10662),(#10663,#10664), -(#10665,#10666),(#10667,#10668),(#10669,#10670),(#10671,#10672),(#10673, -#10674),(#10675,#10676),(#10677,#10678)),.SURF_OF_LINEAR_EXTRUSION.,.F., - .F.,.F.,(4,1,1,1,1,1,4),(2,2),(0.128948646383063,0.181238469993603,0.207383381798873, -0.233528293604143,0.259673205409413,0.285818117214683,0.338107940825223), -(0.,1.),.UNSPECIFIED.); -#400=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10729,#10730),(#10731,#10732), -(#10733,#10734),(#10735,#10736),(#10737,#10738),(#10739,#10740),(#10741, -#10742)),.SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,4),(2,2),(0.,0.148160989805331, -0.296321979610661,0.444482969415992,0.592643959221323),(0.,1.), - .UNSPECIFIED.); -#401=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10799,#10800),(#10801,#10802), -(#10803,#10804),(#10805,#10806)),.SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F., -(4,4),(2,2),(0.,0.0629682963378987),(0.,1.),.PIECEWISE_BEZIER_KNOTS.); -#402=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10824,#10825),(#10826,#10827), -(#10828,#10829),(#10830,#10831),(#10832,#10833),(#10834,#10835),(#10836, -#10837),(#10838,#10839),(#10840,#10841),(#10842,#10843),(#10844,#10845), -(#10846,#10847),(#10848,#10849),(#10850,#10851),(#10852,#10853)), - .SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,4),(2, -2),(0.0629682963378987,0.138627721860257,0.176457434621437,0.214287147382616, -0.252116860143795,0.289946572904975,0.365605998427334,0.441265423949692, -0.479095136710872,0.516924849472051,0.55475456223323,0.59258427499441,0.668243700516769), -(0.,1.),.UNSPECIFIED.); -#403=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10910,#10911),(#10912,#10913), -(#10914,#10915),(#10916,#10917),(#10918,#10919),(#10920,#10921),(#10922, -#10923),(#10924,#10925),(#10926,#10927),(#10928,#10929),(#10930,#10931), -(#10932,#10933),(#10934,#10935),(#10936,#10937),(#10938,#10939),(#10940, -#10941)),.SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1, -1,1,4),(2,2),(0.30067118155393,0.388087283859688,0.431795335012568,0.475503386165447, -0.519211437318327,0.562919488471206,0.650335590776965,0.737751693082724, -0.781459744235603,0.825167795388482,0.847021820964922,0.868875846541362, -0.912583897694241,1.),(0.,1.),.UNSPECIFIED.); -#404=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10980,#10981),(#10982,#10983), -(#10984,#10985),(#10986,#10987),(#10988,#10989),(#10990,#10991),(#10992, -#10993),(#10994,#10995)),.SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1, -1,1,4),(2,2),(0.668243700516769,0.743437078786135,0.818630457055501,0.893823835324867, -0.93142052445955,0.969017213594233),(0.,1.),.UNSPECIFIED.); -#405=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#11080,#11081),(#11082,#11083), -(#11084,#11085),(#11086,#11087),(#11088,#11089),(#11090,#11091),(#11092, -#11093),(#11094,#11095),(#11096,#11097)),.SURF_OF_LINEAR_EXTRUSION.,.F., - .F.,.F.,(4,1,1,1,1,1,4),(2,2),(0.752727155007421,0.783636260631494,0.814545366255566, -0.845454471879638,0.876363577503711,0.938181788751855,1.),(0.,1.), - .UNSPECIFIED.); -#406=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#11136,#11137),(#11138,#11139), -(#11140,#11141),(#11142,#11143),(#11144,#11145),(#11146,#11147),(#11148, -#11149),(#11150,#11151),(#11152,#11153)),.SURF_OF_LINEAR_EXTRUSION.,.F., - .F.,.F.,(4,1,1,1,1,1,4),(2,2),(0.018125920064569,0.0722913385295407,0.126456756994512, -0.153539466226998,0.180622175459484,0.20770488469197,0.234787593924456), -(0.,1.),.UNSPECIFIED.); -#407=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#11236,#11237),(#11238,#11239), -(#11240,#11241),(#11242,#11243),(#11244,#11245),(#11246,#11247),(#11248, -#11249),(#11250,#11251),(#11252,#11253),(#11254,#11255),(#11256,#11257), -(#11258,#11259),(#11260,#11261),(#11262,#11263),(#11264,#11265),(#11266, -#11267)),.SURF_OF_LINEAR_EXTRUSION.,.T.,.F.,.F.,(1,1,1,1,1,1,1,1,1,1,1, -1,1,1,1,1,1,1,1,1),(2,2),(-0.227943125663441,-0.156105978658746,-0.0842688316540503, -0.,0.0884373043192489,0.176874608638498,0.259005496786648,0.330446692591723, -0.401887888396797,0.495206495015867,0.586509865025952,0.677813235036037, -0.724935054686298,0.772056874336559,0.843894021341254,0.91573116834595, -1.,1.08843730431925,1.1768746086385,1.25900549678665),(0.,1.), - .UNSPECIFIED.); -#408=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#11328,#11329),(#11330,#11331), -(#11332,#11333),(#11334,#11335),(#11336,#11337),(#11338,#11339),(#11340, -#11341),(#11342,#11343),(#11344,#11345),(#11346,#11347),(#11348,#11349), -(#11350,#11351),(#11352,#11353),(#11354,#11355),(#11356,#11357),(#11358, -#11359),(#11360,#11361),(#11362,#11363)),.SURF_OF_LINEAR_EXTRUSION.,.T., - .F.,.F.,(1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1),(2,2),(-0.20735011595903, --0.162385572579684,-0.0811927862898418,0.,0.0608034016306548,0.12160680326131, -0.243213606522619,0.290153609346163,0.337093612169708,0.413946327338617, -0.490799042507525,0.535710733255456,0.580622424003387,0.664153882332505, -0.747685340661623,0.79264988404097,0.837614427420316,0.918807213710158, -1.,1.06080340163065,1.12160680326131,1.24321360652262),(0.,1.), - .UNSPECIFIED.); -#409=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#11439,#11440),(#11441,#11442), -(#11443,#11444),(#11445,#11446),(#11447,#11448),(#11449,#11450)), - .SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,4),(2,2),(0.,0.0429406003708224, -0.0858812007416448,0.17176240148329),(0.,1.),.UNSPECIFIED.); -#410=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#11486,#11487),(#11488,#11489), -(#11490,#11491),(#11492,#11493),(#11494,#11495),(#11496,#11497),(#11498, -#11499),(#11500,#11501),(#11502,#11503),(#11504,#11505),(#11506,#11507), -(#11508,#11509)),.SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,1,1,1, -1,1,4),(2,2),(0.198564885445805,0.254833822315852,0.282968290750875,0.311102759185899, -0.339237227620923,0.367371696055946,0.423640632925993,0.47990956979604, -0.536178506666088,0.648716380406182),(0.,1.),.UNSPECIFIED.); -#411=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#11544,#11545),(#11546,#11547), -(#11548,#11549),(#11550,#11551),(#11552,#11553),(#11554,#11555),(#11556, -#11557),(#11558,#11559),(#11560,#11561),(#11562,#11563),(#11564,#11565)), - .SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(2,2),(0.692924445471803, -0.731308889787827,0.75050111194584,0.769693334103852,0.808077778419877, -0.846462222735901,0.884846667051926,0.923231111367951,1.),(0.,1.), - .UNSPECIFIED.); -#412=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#11604,#11605),(#11606,#11607), -(#11608,#11609),(#11610,#11611),(#11612,#11613),(#11614,#11615),(#11616, -#11617),(#11618,#11619),(#11620,#11621)),.SURF_OF_LINEAR_EXTRUSION.,.F., - .F.,.F.,(4,1,1,1,1,1,4),(2,2),(0.0207845860332522,0.0832751155555501,0.145765645077848, -0.177010909838997,0.208256174600146,0.239501439361295,0.270746704122444), -(0.,1.),.UNSPECIFIED.); -#413=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#11807,#11808),(#11809,#11810), -(#11811,#11812),(#11813,#11814),(#11815,#11816),(#11817,#11818),(#11819, -#11820),(#11821,#11822),(#11823,#11824),(#11825,#11826)), - .SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,1,1,1,4),(2,2),(0.,0.0435460240920625, -0.087092048184125,0.130638072276187,0.17418409636825,0.217730120460312, -0.261276144552375,0.3483681927365),(0.,1.),.UNSPECIFIED.); -#414=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#11856,#11857),(#11858,#11859), -(#11860,#11861),(#11862,#11863),(#11864,#11865),(#11866,#11867),(#11868, -#11869),(#11870,#11871)),.SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1, -1,1,4),(2,2),(0.3483681927365,0.390910172035779,0.412181161685419,0.433452151335058, -0.475994130634337,0.518536109933617),(0.,1.),.UNSPECIFIED.); -#415=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#11913,#11914),(#11915,#11916), -(#11917,#11918),(#11919,#11920),(#11921,#11922),(#11923,#11924),(#11925, -#11926),(#11927,#11928),(#11929,#11930),(#11931,#11932),(#11933,#11934)), - .SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(2,2),(0.544246985213222, -0.582146921957474,0.620046858701726,0.657946795445978,0.676896763818104, -0.69584673219023,0.733746668934482,0.771646605678735,0.847446479167239), -(0.,1.),.UNSPECIFIED.); -#416=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#11958,#11959),(#11960,#11961), -(#11962,#11963),(#11964,#11965),(#11966,#11967)), - .SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,4),(2,2),(0.847446479167239, -0.909833456386591,0.972220433605943),(0.,1.),.UNSPECIFIED.); -#417=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#11984,#11985),(#11986,#11987), -(#11988,#11989),(#11990,#11991),(#11992,#11993),(#11994,#11995),(#11996, -#11997),(#11998,#11999),(#12000,#12001)),.SURF_OF_LINEAR_EXTRUSION.,.F., - .F.,.F.,(4,1,1,1,1,1,4),(2,2),(0.752903653119276,0.783790696479366,0.814677739839457, -0.845564783199547,0.876451826559638,0.938225913279819,1.),(0.,1.), - .UNSPECIFIED.); -#418=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#12040,#12041),(#12042,#12043), -(#12044,#12045),(#12046,#12047),(#12048,#12049),(#12050,#12051),(#12052, -#12053),(#12054,#12055),(#12056,#12057)),.SURF_OF_LINEAR_EXTRUSION.,.F., - .F.,.F.,(4,1,1,1,1,1,4),(2,2),(0.017934276420548,0.072086753899474,0.1262392313784, -0.153315470117863,0.180391708857326,0.207467947596789,0.234544186336252), -(0.,1.),.UNSPECIFIED.); -#419=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#12140,#12141),(#12142,#12143), -(#12144,#12145),(#12146,#12147),(#12148,#12149),(#12150,#12151),(#12152, -#12153),(#12154,#12155),(#12156,#12157),(#12158,#12159),(#12160,#12161), -(#12162,#12163)),.SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,1,1,1, -1,1,4),(2,2),(0.556640892407181,0.667480669305386,0.778320446203591,0.833740334652693, -0.889160223101795,0.916870167326346,0.944580111550898,0.958435083663173, -0.972290055775449,1.),(0.,1.),.UNSPECIFIED.); -#420=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#12202,#12203),(#12204,#12205), -(#12206,#12207),(#12208,#12209),(#12210,#12211),(#12212,#12213),(#12214, -#12215),(#12216,#12217),(#12218,#12219),(#12220,#12221),(#12222,#12223)), - .SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(2,2),(0.0306370071150834, -0.0925578668872102,0.123518296773274,0.154478726659337,0.1854391565454, -0.216399586431464,0.278320446203591,0.402162165747844,0.526003885292098), -(0.,1.),.UNSPECIFIED.); -#421=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#12323,#12324),(#12325,#12326), -(#12327,#12328),(#12329,#12330),(#12331,#12332),(#12333,#12334)), - .SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,4),(2,2),(0.,0.0298114061972911, -0.0596228123945822,0.119245624789164),(0.,1.),.UNSPECIFIED.); -#422=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#12466,#12467),(#12468,#12469), -(#12470,#12471),(#12472,#12473),(#12474,#12475),(#12476,#12477),(#12478, -#12479),(#12480,#12481)),.SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1, -1,1,4),(2,2),(0.760638301794527,0.807610869104519,0.831097152759516,0.854583436414512, -0.901556003724505,0.948528571034497),(0.,1.),.UNSPECIFIED.); -#423=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#12531,#12532),(#12533,#12534), -(#12535,#12536),(#12537,#12538),(#12539,#12540)), - .SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,4),(2,2),(0.,0.0595638193839001, -0.1191276387678),(0.,1.),.UNSPECIFIED.); -#424=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#12672,#12673),(#12674,#12675), -(#12676,#12677),(#12678,#12679),(#12680,#12681),(#12682,#12683),(#12684, -#12685),(#12686,#12687)),.SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1, -1,1,4),(2,2),(0.760606236856522,0.807585096620445,0.831074526502407,0.854563956384369, -0.901542816148292,0.948521675912215),(0.,1.),.UNSPECIFIED.); -#425=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#12749,#12750),(#12751,#12752), -(#12753,#12754),(#12755,#12756),(#12757,#12758)), - .SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,4),(2,2),(0.0295174571420715, -0.090982486198827,0.152447515255583),(0.,1.),.UNSPECIFIED.); -#426=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#12812,#12813),(#12814,#12815), -(#12816,#12817),(#12818,#12819),(#12820,#12821),(#12822,#12823),(#12824, -#12825)),.SURF_OF_LINEAR_EXTRUSION.,.F.,.F.,.F.,(4,1,1,1,4),(2,2),(0.794706668933071, -0.839074738810005,0.883442808686939,0.927810878563873,0.972178948440806), -(0.,1.),.UNSPECIFIED.); -#427=PLANE('',#7751); -#428=PLANE('',#7752); -#429=PLANE('',#7753); -#430=PLANE('',#7754); -#431=PLANE('',#7755); -#432=PLANE('',#7756); -#433=PLANE('',#7757); -#434=PLANE('',#7758); -#435=PLANE('',#7759); -#436=PLANE('',#7760); -#437=PLANE('',#7761); -#438=PLANE('',#7762); -#439=PLANE('',#7763); -#440=PLANE('',#7766); -#441=PLANE('',#7767); -#442=PLANE('',#7768); -#443=PLANE('',#7769); -#444=PLANE('',#7770); -#445=PLANE('',#7771); -#446=PLANE('',#7772); -#447=PLANE('',#7773); -#448=PLANE('',#7774); -#449=PLANE('',#7775); -#450=PLANE('',#7776); -#451=PLANE('',#7777); -#452=PLANE('',#7778); -#453=PLANE('',#7779); -#454=PLANE('',#7780); -#455=PLANE('',#7781); -#456=PLANE('',#7782); -#457=PLANE('',#7783); -#458=PLANE('',#7784); -#459=PLANE('',#7787); -#460=PLANE('',#7788); -#461=PLANE('',#7789); -#462=PLANE('',#7790); -#463=PLANE('',#7791); -#464=PLANE('',#7792); -#465=PLANE('',#7793); -#466=PLANE('',#7794); -#467=PLANE('',#7797); -#468=PLANE('',#7800); -#469=PLANE('',#7801); -#470=PLANE('',#7804); -#471=PLANE('',#7807); -#472=PLANE('',#7810); -#473=PLANE('',#7811); -#474=PLANE('',#7816); -#475=PLANE('',#7817); -#476=PLANE('',#7818); -#477=PLANE('',#7819); -#478=PLANE('',#7820); -#479=PLANE('',#7821); -#480=PLANE('',#7822); -#481=PLANE('',#7823); -#482=PLANE('',#7824); -#483=PLANE('',#7825); -#484=PLANE('',#7826); -#485=PLANE('',#7827); -#486=PLANE('',#7828); -#487=PLANE('',#7829); -#488=PLANE('',#7830); -#489=PLANE('',#7831); -#490=PLANE('',#7832); -#491=PLANE('',#7833); -#492=PLANE('',#7834); -#493=PLANE('',#7835); -#494=PLANE('',#7836); -#495=PLANE('',#7837); -#496=PLANE('',#7838); -#497=PLANE('',#7839); -#498=PLANE('',#7840); -#499=PLANE('',#7841); -#500=PLANE('',#7842); -#501=PLANE('',#7843); -#502=PLANE('',#7844); -#503=PLANE('',#7845); -#504=PLANE('',#7846); -#505=PLANE('',#7847); -#506=PLANE('',#7848); -#507=PLANE('',#7849); -#508=PLANE('',#7850); -#509=PLANE('',#7851); -#510=PLANE('',#7852); -#511=PLANE('',#7853); -#512=PLANE('',#7855); -#513=PLANE('',#7857); -#514=PLANE('',#7858); -#515=PLANE('',#7860); -#516=PLANE('',#7862); -#517=PLANE('',#7865); -#518=PLANE('',#7866); -#519=PLANE('',#7867); -#520=PLANE('',#7868); -#521=PLANE('',#7869); -#522=PLANE('',#7870); -#523=PLANE('',#7871); -#524=PLANE('',#7872); -#525=PLANE('',#7873); -#526=PLANE('',#7874); -#527=PLANE('',#7875); -#528=PLANE('',#7876); -#529=PLANE('',#7877); -#530=PLANE('',#7878); -#531=PLANE('',#7879); -#532=PLANE('',#7880); -#533=PLANE('',#7881); -#534=PLANE('',#7882); -#535=PLANE('',#7883); -#536=PLANE('',#7884); -#537=PLANE('',#7885); -#538=PLANE('',#7886); -#539=PLANE('',#7887); -#540=PLANE('',#7888); -#541=PLANE('',#7889); -#542=PLANE('',#7890); -#543=PLANE('',#7891); -#544=PLANE('',#7892); -#545=PLANE('',#7893); -#546=PLANE('',#7894); -#547=PLANE('',#7895); -#548=PLANE('',#7896); -#549=PLANE('',#7897); -#550=PLANE('',#7898); -#551=PLANE('',#7899); -#552=PLANE('',#7900); -#553=PLANE('',#7901); -#554=PLANE('',#7902); -#555=PLANE('',#7903); -#556=PLANE('',#7904); -#557=PLANE('',#7905); -#558=PLANE('',#7906); -#559=PLANE('',#7907); -#560=PLANE('',#7908); -#561=PLANE('',#7909); -#562=PLANE('',#7910); -#563=PLANE('',#7911); -#564=PLANE('',#7912); -#565=PLANE('',#7913); -#566=PLANE('',#7914); -#567=PLANE('',#7915); -#568=PLANE('',#7916); -#569=PLANE('',#7917); -#570=PLANE('',#7918); -#571=PLANE('',#7919); -#572=PLANE('',#7920); -#573=PLANE('',#7921); -#574=PLANE('',#7922); -#575=PLANE('',#7923); -#576=PLANE('',#7924); -#577=PLANE('',#7925); -#578=PLANE('',#7926); -#579=PLANE('',#7927); -#580=PLANE('',#7928); -#581=PLANE('',#7929); -#582=PLANE('',#7930); -#583=PLANE('',#7931); -#584=PLANE('',#7932); -#585=PLANE('',#7933); -#586=PLANE('',#7934); -#587=PLANE('',#7935); -#588=PLANE('',#7936); -#589=PLANE('',#7937); -#590=PLANE('',#7938); -#591=PLANE('',#7939); -#592=PLANE('',#7940); -#593=PLANE('',#7941); -#594=PLANE('',#7942); -#595=PLANE('',#7943); -#596=PLANE('',#7944); -#597=PLANE('',#7945); -#598=PLANE('',#7946); -#599=PLANE('',#7947); -#600=PLANE('',#7948); -#601=PLANE('',#7949); -#602=PLANE('',#7950); -#603=PLANE('',#7951); -#604=PLANE('',#7952); -#605=PLANE('',#7953); -#606=PLANE('',#7954); -#607=PLANE('',#7955); -#608=PLANE('',#7956); -#609=PLANE('',#7957); -#610=PLANE('',#7958); -#611=PLANE('',#7959); -#612=PLANE('',#7960); -#613=PLANE('',#7961); -#614=PLANE('',#7962); -#615=PLANE('',#7963); -#616=PLANE('',#7964); -#617=PLANE('',#7965); -#618=PLANE('',#7966); -#619=PLANE('',#7967); -#620=PLANE('',#7968); -#621=PLANE('',#7969); -#622=PLANE('',#7970); -#623=PLANE('',#7971); -#624=PLANE('',#7972); -#625=PLANE('',#7973); -#626=PLANE('',#7974); -#627=PLANE('',#7975); -#628=PLANE('',#7976); -#629=PLANE('',#7977); -#630=PLANE('',#7978); -#631=PLANE('',#7979); -#632=PLANE('',#7980); -#633=PLANE('',#7981); -#634=PLANE('',#7982); -#635=PLANE('',#7983); -#636=PLANE('',#7984); -#637=PLANE('',#7985); -#638=PLANE('',#7986); -#639=PLANE('',#7987); -#640=PLANE('',#7988); -#641=PLANE('',#7989); -#642=PLANE('',#7990); -#643=PLANE('',#7991); -#644=PLANE('',#7992); -#645=PLANE('',#7993); -#646=PLANE('',#7994); -#647=PLANE('',#7995); -#648=PLANE('',#7996); -#649=PLANE('',#7997); -#650=PLANE('',#7998); -#651=PLANE('',#8007); -#652=PLANE('',#8008); -#653=PLANE('',#8009); -#654=PLANE('',#8010); -#655=PLANE('',#8011); -#656=PLANE('',#8012); -#657=PLANE('',#8017); -#658=PLANE('',#8018); -#659=PLANE('',#8021); -#660=PLANE('',#8022); -#661=PLANE('',#8023); -#662=PLANE('',#8024); -#663=PLANE('',#8025); -#664=PLANE('',#8026); -#665=PLANE('',#8027); -#666=PLANE('',#8060); -#667=PLANE('',#8063); -#668=PLANE('',#8064); -#669=PLANE('',#8065); -#670=PLANE('',#8066); -#671=PLANE('',#8067); -#672=PLANE('',#8068); -#673=PLANE('',#8069); -#674=PLANE('',#8070); -#675=PLANE('',#8071); -#676=PLANE('',#8072); -#677=PLANE('',#8085); -#678=PLANE('',#8086); -#679=PLANE('',#8087); -#680=PLANE('',#8088); -#681=PLANE('',#8089); -#682=LINE('',#9245,#1140); -#683=LINE('',#9249,#1141); -#684=LINE('',#9254,#1142); -#685=LINE('',#9258,#1143); -#686=LINE('',#9260,#1144); -#687=LINE('',#9262,#1145); -#688=LINE('',#9264,#1146); -#689=LINE('',#9266,#1147); -#690=LINE('',#9268,#1148); -#691=LINE('',#9270,#1149); -#692=LINE('',#9272,#1150); -#693=LINE('',#9274,#1151); -#694=LINE('',#9276,#1152); -#695=LINE('',#9278,#1153); -#696=LINE('',#9280,#1154); -#697=LINE('',#9282,#1155); -#698=LINE('',#9284,#1156); -#699=LINE('',#9286,#1157); -#700=LINE('',#9288,#1158); -#701=LINE('',#9291,#1159); -#702=LINE('',#9293,#1160); -#703=LINE('',#9295,#1161); -#704=LINE('',#9297,#1162); -#705=LINE('',#9298,#1163); -#706=LINE('',#9300,#1164); -#707=LINE('',#9302,#1165); -#708=LINE('',#9304,#1166); -#709=LINE('',#9306,#1167); -#710=LINE('',#9308,#1168); -#711=LINE('',#9310,#1169); -#712=LINE('',#9311,#1170); -#713=LINE('',#9312,#1171); -#714=LINE('',#9315,#1172); -#715=LINE('',#9318,#1173); -#716=LINE('',#9320,#1174); -#717=LINE('',#9322,#1175); -#718=LINE('',#9323,#1176); -#719=LINE('',#9326,#1177); -#720=LINE('',#9328,#1178); -#721=LINE('',#9330,#1179); -#722=LINE('',#9332,#1180); -#723=LINE('',#9334,#1181); -#724=LINE('',#9336,#1182); -#725=LINE('',#9338,#1183); -#726=LINE('',#9340,#1184); -#727=LINE('',#9342,#1185); -#728=LINE('',#9344,#1186); -#729=LINE('',#9346,#1187); -#730=LINE('',#9348,#1188); -#731=LINE('',#9351,#1189); -#732=LINE('',#9353,#1190); -#733=LINE('',#9355,#1191); -#734=LINE('',#9359,#1192); -#735=LINE('',#9363,#1193); -#736=LINE('',#9365,#1194); -#737=LINE('',#9367,#1195); -#738=LINE('',#9369,#1196); -#739=LINE('',#9371,#1197); -#740=LINE('',#9373,#1198); -#741=LINE('',#9375,#1199); -#742=LINE('',#9377,#1200); -#743=LINE('',#9380,#1201); -#744=LINE('',#9382,#1202); -#745=LINE('',#9384,#1203); -#746=LINE('',#9386,#1204); -#747=LINE('',#9389,#1205); -#748=LINE('',#9391,#1206); -#749=LINE('',#9393,#1207); -#750=LINE('',#9395,#1208); -#751=LINE('',#9398,#1209); -#752=LINE('',#9399,#1210); -#753=LINE('',#9401,#1211); -#754=LINE('',#9403,#1212); -#755=LINE('',#9405,#1213); -#756=LINE('',#9407,#1214); -#757=LINE('',#9409,#1215); -#758=LINE('',#9411,#1216); -#759=LINE('',#9413,#1217); -#760=LINE('',#9415,#1218); -#761=LINE('',#9416,#1219); -#762=LINE('',#9418,#1220); -#763=LINE('',#9420,#1221); -#764=LINE('',#9422,#1222); -#765=LINE('',#9423,#1223); -#766=LINE('',#9425,#1224); -#767=LINE('',#9427,#1225); -#768=LINE('',#9429,#1226); -#769=LINE('',#9431,#1227); -#770=LINE('',#9433,#1228); -#771=LINE('',#9435,#1229); -#772=LINE('',#9437,#1230); -#773=LINE('',#9439,#1231); -#774=LINE('',#9441,#1232); -#775=LINE('',#9443,#1233); -#776=LINE('',#9445,#1234); -#777=LINE('',#9447,#1235); -#778=LINE('',#9449,#1236); -#779=LINE('',#9451,#1237); -#780=LINE('',#9453,#1238); -#781=LINE('',#9455,#1239); -#782=LINE('',#9457,#1240); -#783=LINE('',#9459,#1241); -#784=LINE('',#9461,#1242); -#785=LINE('',#9463,#1243); -#786=LINE('',#9465,#1244); -#787=LINE('',#9467,#1245); -#788=LINE('',#9469,#1246); -#789=LINE('',#9471,#1247); -#790=LINE('',#9472,#1248); -#791=LINE('',#9474,#1249); -#792=LINE('',#9476,#1250); -#793=LINE('',#9477,#1251); -#794=LINE('',#9479,#1252); -#795=LINE('',#9481,#1253); -#796=LINE('',#9483,#1254); -#797=LINE('',#9485,#1255); -#798=LINE('',#9487,#1256); -#799=LINE('',#9488,#1257); -#800=LINE('',#9491,#1258); -#801=LINE('',#9493,#1259); -#802=LINE('',#9495,#1260); -#803=LINE('',#9496,#1261); -#804=LINE('',#9499,#1262); -#805=LINE('',#9501,#1263); -#806=LINE('',#9503,#1264); -#807=LINE('',#9505,#1265); -#808=LINE('',#9508,#1266); -#809=LINE('',#9510,#1267); -#810=LINE('',#9512,#1268); -#811=LINE('',#9514,#1269); -#812=LINE('',#9516,#1270); -#813=LINE('',#9518,#1271); -#814=LINE('',#9521,#1272); -#815=LINE('',#9523,#1273); -#816=LINE('',#9525,#1274); -#817=LINE('',#9527,#1275); -#818=LINE('',#9529,#1276); -#819=LINE('',#9531,#1277); -#820=LINE('',#9533,#1278); -#821=LINE('',#9535,#1279); -#822=LINE('',#9537,#1280); -#823=LINE('',#9539,#1281); -#824=LINE('',#9541,#1282); -#825=LINE('',#9543,#1283); -#826=LINE('',#9545,#1284); -#827=LINE('',#9548,#1285); -#828=LINE('',#9550,#1286); -#829=LINE('',#9552,#1287); -#830=LINE('',#9554,#1288); -#831=LINE('',#9557,#1289); -#832=LINE('',#9558,#1290); -#833=LINE('',#9560,#1291); -#834=LINE('',#9562,#1292); -#835=LINE('',#9564,#1293); -#836=LINE('',#9566,#1294); -#837=LINE('',#9568,#1295); -#838=LINE('',#9571,#1296); -#839=LINE('',#9573,#1297); -#840=LINE('',#9574,#1298); -#841=LINE('',#9576,#1299); -#842=LINE('',#9577,#1300); -#843=LINE('',#9579,#1301); -#844=LINE('',#9583,#1302); -#845=LINE('',#9585,#1303); -#846=LINE('',#9587,#1304); -#847=LINE('',#9590,#1305); -#848=LINE('',#9592,#1306); -#849=LINE('',#9594,#1307); -#850=LINE('',#9596,#1308); -#851=LINE('',#9599,#1309); -#852=LINE('',#9601,#1310); -#853=LINE('',#9603,#1311); -#854=LINE('',#9605,#1312); -#855=LINE('',#9608,#1313); -#856=LINE('',#9610,#1314); -#857=LINE('',#9612,#1315); -#858=LINE('',#9614,#1316); -#859=LINE('',#9615,#1317); -#860=LINE('',#9617,#1318); -#861=LINE('',#9618,#1319); -#862=LINE('',#9620,#1320); -#863=LINE('',#9622,#1321); -#864=LINE('',#9627,#1322); -#865=LINE('',#9628,#1323); -#866=LINE('',#9631,#1324); -#867=LINE('',#9632,#1325); -#868=LINE('',#9641,#1326); -#869=LINE('',#9642,#1327); -#870=LINE('',#9645,#1328); -#871=LINE('',#9646,#1329); -#872=LINE('',#9655,#1330); -#873=LINE('',#9657,#1331); -#874=LINE('',#9659,#1332); -#875=LINE('',#9661,#1333); -#876=LINE('',#9663,#1334); -#877=LINE('',#9665,#1335); -#878=LINE('',#9667,#1336); -#879=LINE('',#9669,#1337); -#880=LINE('',#9671,#1338); -#881=LINE('',#9673,#1339); -#882=LINE('',#9675,#1340); -#883=LINE('',#9677,#1341); -#884=LINE('',#9679,#1342); -#885=LINE('',#9682,#1343); -#886=LINE('',#9684,#1344); -#887=LINE('',#9686,#1345); -#888=LINE('',#9688,#1346); -#889=LINE('',#9690,#1347); -#890=LINE('',#9692,#1348); -#891=LINE('',#9694,#1349); -#892=LINE('',#9696,#1350); -#893=LINE('',#9698,#1351); -#894=LINE('',#9700,#1352); -#895=LINE('',#9702,#1353); -#896=LINE('',#9704,#1354); -#897=LINE('',#9706,#1355); -#898=LINE('',#9709,#1356); -#899=LINE('',#9711,#1357); -#900=LINE('',#9713,#1358); -#901=LINE('',#9715,#1359); -#902=LINE('',#9717,#1360); -#903=LINE('',#9719,#1361); -#904=LINE('',#9721,#1362); -#905=LINE('',#9723,#1363); -#906=LINE('',#9725,#1364); -#907=LINE('',#9727,#1365); -#908=LINE('',#9729,#1366); -#909=LINE('',#9731,#1367); -#910=LINE('',#9733,#1368); -#911=LINE('',#9736,#1369); -#912=LINE('',#9738,#1370); -#913=LINE('',#9740,#1371); -#914=LINE('',#9742,#1372); -#915=LINE('',#9744,#1373); -#916=LINE('',#9746,#1374); -#917=LINE('',#9748,#1375); -#918=LINE('',#9750,#1376); -#919=LINE('',#9752,#1377); -#920=LINE('',#9754,#1378); -#921=LINE('',#9756,#1379); -#922=LINE('',#9758,#1380); -#923=LINE('',#9760,#1381); -#924=LINE('',#9763,#1382); -#925=LINE('',#9765,#1383); -#926=LINE('',#9767,#1384); -#927=LINE('',#9769,#1385); -#928=LINE('',#9771,#1386); -#929=LINE('',#9773,#1387); -#930=LINE('',#9774,#1388); -#931=LINE('',#9776,#1389); -#932=LINE('',#9778,#1390); -#933=LINE('',#9780,#1391); -#934=LINE('',#9782,#1392); -#935=LINE('',#9784,#1393); -#936=LINE('',#9786,#1394); -#937=LINE('',#9787,#1395); -#938=LINE('',#9790,#1396); -#939=LINE('',#9796,#1397); -#940=LINE('',#9798,#1398); -#941=LINE('',#9802,#1399); -#942=LINE('',#9804,#1400); -#943=LINE('',#9808,#1401); -#944=LINE('',#9870,#1402); -#945=LINE('',#9900,#1403); -#946=LINE('',#9912,#1404); -#947=LINE('',#9953,#1405); -#948=LINE('',#9961,#1406); -#949=LINE('',#9968,#1407); -#950=LINE('',#9980,#1408); -#951=LINE('',#10072,#1409); -#952=LINE('',#10085,#1410); -#953=LINE('',#10097,#1411); -#954=LINE('',#10142,#1412); -#955=LINE('',#10213,#1413); -#956=LINE('',#10243,#1414); -#957=LINE('',#10255,#1415); -#958=LINE('',#10296,#1416); -#959=LINE('',#10304,#1417); -#960=LINE('',#10311,#1418); -#961=LINE('',#10323,#1419); -#962=LINE('',#10618,#1420); -#963=LINE('',#10648,#1421); -#964=LINE('',#10660,#1422); -#965=LINE('',#10701,#1423); -#966=LINE('',#10709,#1424); -#967=LINE('',#10716,#1425); -#968=LINE('',#10728,#1426); -#969=LINE('',#10791,#1427); -#970=LINE('',#10798,#1428); -#971=LINE('',#10823,#1429); -#972=LINE('',#10894,#1430); -#973=LINE('',#10902,#1431); -#974=LINE('',#10909,#1432); -#975=LINE('',#11017,#1433); -#976=LINE('',#11022,#1434); -#977=LINE('',#11030,#1435); -#978=LINE('',#11038,#1436); -#979=LINE('',#11051,#1437); -#980=LINE('',#11056,#1438); -#981=LINE('',#11064,#1439); -#982=LINE('',#11072,#1440); -#983=LINE('',#11110,#1441); -#984=LINE('',#11123,#1442); -#985=LINE('',#11135,#1443); -#986=LINE('',#11176,#1444); -#987=LINE('',#11188,#1445); -#988=LINE('',#11200,#1446); -#989=LINE('',#11212,#1447); -#990=LINE('',#11224,#1448); -#991=LINE('',#11431,#1449); -#992=LINE('',#11438,#1450); -#993=LINE('',#11473,#1451); -#994=LINE('',#11485,#1452); -#995=LINE('',#11532,#1453); -#996=LINE('',#11578,#1454); -#997=LINE('',#11591,#1455); -#998=LINE('',#11603,#1456); -#999=LINE('',#11650,#1457); -#1000=LINE('',#11662,#1458); -#1001=LINE('',#11674,#1459); -#1002=LINE('',#11691,#1460); -#1003=LINE('',#11696,#1461); -#1004=LINE('',#11704,#1462); -#1005=LINE('',#11712,#1463); -#1006=LINE('',#11720,#1464); -#1007=LINE('',#11728,#1465); -#1008=LINE('',#11736,#1466); -#1009=LINE('',#11744,#1467); -#1010=LINE('',#11752,#1468); -#1011=LINE('',#11760,#1469); -#1012=LINE('',#11768,#1470); -#1013=LINE('',#11776,#1471); -#1014=LINE('',#11784,#1472); -#1015=LINE('',#11799,#1473); -#1016=LINE('',#11806,#1474); -#1017=LINE('',#11855,#1475); -#1018=LINE('',#11900,#1476); -#1019=LINE('',#11912,#1477); -#1020=LINE('',#11957,#1478); -#1021=LINE('',#12014,#1479); -#1022=LINE('',#12027,#1480); -#1023=LINE('',#12039,#1481); -#1024=LINE('',#12080,#1482); -#1025=LINE('',#12092,#1483); -#1026=LINE('',#12104,#1484); -#1027=LINE('',#12116,#1485); -#1028=LINE('',#12128,#1486); -#1029=LINE('',#12176,#1487); -#1030=LINE('',#12189,#1488); -#1031=LINE('',#12201,#1489); -#1032=LINE('',#12246,#1490); -#1033=LINE('',#12263,#1491); -#1034=LINE('',#12268,#1492); -#1035=LINE('',#12276,#1493); -#1036=LINE('',#12284,#1494); -#1037=LINE('',#12292,#1495); -#1038=LINE('',#12300,#1496); -#1039=LINE('',#12315,#1497); -#1040=LINE('',#12322,#1498); -#1041=LINE('',#12357,#1499); -#1042=LINE('',#12369,#1500); -#1043=LINE('',#12381,#1501); -#1044=LINE('',#12393,#1502); -#1045=LINE('',#12405,#1503); -#1046=LINE('',#12417,#1504); -#1047=LINE('',#12429,#1505); -#1048=LINE('',#12441,#1506); -#1049=LINE('',#12453,#1507); -#1050=LINE('',#12465,#1508); -#1051=LINE('',#12504,#1509); -#1052=LINE('',#12523,#1510); -#1053=LINE('',#12530,#1511); -#1054=LINE('',#12563,#1512); -#1055=LINE('',#12575,#1513); -#1056=LINE('',#12587,#1514); -#1057=LINE('',#12599,#1515); -#1058=LINE('',#12611,#1516); -#1059=LINE('',#12623,#1517); -#1060=LINE('',#12635,#1518); -#1061=LINE('',#12647,#1519); -#1062=LINE('',#12659,#1520); -#1063=LINE('',#12671,#1521); -#1064=LINE('',#12710,#1522); -#1065=LINE('',#12729,#1523); -#1066=LINE('',#12736,#1524); -#1067=LINE('',#12748,#1525); -#1068=LINE('',#12775,#1526); -#1069=LINE('',#12787,#1527); -#1070=LINE('',#12799,#1528); -#1071=LINE('',#12811,#1529); -#1072=LINE('',#12849,#1530); -#1073=LINE('',#12852,#1531); -#1074=LINE('',#12939,#1532); -#1075=LINE('',#12941,#1533); -#1076=LINE('',#12943,#1534); -#1077=LINE('',#12946,#1535); -#1078=LINE('',#12947,#1536); -#1079=LINE('',#12949,#1537); -#1080=LINE('',#12951,#1538); -#1081=LINE('',#12953,#1539); -#1082=LINE('',#12955,#1540); -#1083=LINE('',#12957,#1541); -#1084=LINE('',#12959,#1542); -#1085=LINE('',#12961,#1543); -#1086=LINE('',#12964,#1544); -#1087=LINE('',#12965,#1545); -#1088=LINE('',#12967,#1546); -#1089=LINE('',#12969,#1547); -#1090=LINE('',#12976,#1548); -#1091=LINE('',#12978,#1549); -#1092=LINE('',#12984,#1550); -#1093=LINE('',#12986,#1551); -#1094=LINE('',#12988,#1552); -#1095=LINE('',#12989,#1553); -#1096=LINE('',#12991,#1554); -#1097=LINE('',#12993,#1555); -#1098=LINE('',#12995,#1556); -#1099=LINE('',#13007,#1557); -#1100=LINE('',#13015,#1558); -#1101=LINE('',#13016,#1559); -#1102=LINE('',#13039,#1560); -#1103=LINE('',#13040,#1561); -#1104=LINE('',#13042,#1562); -#1105=LINE('',#13054,#1563); -#1106=LINE('',#13178,#1564); -#1107=LINE('',#13185,#1565); -#1108=LINE('',#13190,#1566); -#1109=LINE('',#13191,#1567); -#1110=LINE('',#13287,#1568); -#1111=LINE('',#13288,#1569); -#1112=LINE('',#13296,#1570); -#1113=LINE('',#13297,#1571); -#1114=LINE('',#13305,#1572); -#1115=LINE('',#13309,#1573); -#1116=LINE('',#13314,#1574); -#1117=LINE('',#13315,#1575); -#1118=LINE('',#13323,#1576); -#1119=LINE('',#13327,#1577); -#1120=LINE('',#13356,#1578); -#1121=LINE('',#13360,#1579); -#1122=LINE('',#13423,#1580); -#1123=LINE('',#13426,#1581); -#1124=LINE('',#13428,#1582); -#1125=LINE('',#13430,#1583); -#1126=LINE('',#13432,#1584); -#1127=LINE('',#13436,#1585); -#1128=LINE('',#13438,#1586); -#1129=LINE('',#13440,#1587); -#1130=LINE('',#13442,#1588); -#1131=LINE('',#13444,#1589); -#1132=LINE('',#13448,#1590); -#1133=LINE('',#13458,#1591); -#1134=LINE('',#13464,#1592); -#1135=LINE('',#13466,#1593); -#1136=LINE('',#13472,#1594); -#1137=LINE('',#13474,#1595); -#1138=LINE('',#13476,#1596); -#1139=LINE('',#13479,#1597); -#1140=VECTOR('',#8096,1000.); -#1141=VECTOR('',#8099,1000.); -#1142=VECTOR('',#8104,1000.); -#1143=VECTOR('',#8107,1000.); -#1144=VECTOR('',#8110,1000.); -#1145=VECTOR('',#8111,1000.); -#1146=VECTOR('',#8112,1000.); -#1147=VECTOR('',#8115,1000.); -#1148=VECTOR('',#8116,1000.); -#1149=VECTOR('',#8117,1000.); -#1150=VECTOR('',#8120,1000.); -#1151=VECTOR('',#8123,1000.); -#1152=VECTOR('',#8124,1000.); -#1153=VECTOR('',#8125,1000.); -#1154=VECTOR('',#8128,1000.); -#1155=VECTOR('',#8129,1000.); -#1156=VECTOR('',#8130,1000.); -#1157=VECTOR('',#8133,1000.); -#1158=VECTOR('',#8136,1000.); -#1159=VECTOR('',#8137,1000.); -#1160=VECTOR('',#8138,1000.); -#1161=VECTOR('',#8139,1000.); -#1162=VECTOR('',#8142,1000.); -#1163=VECTOR('',#8143,1000.); -#1164=VECTOR('',#8144,1000.); -#1165=VECTOR('',#8145,1000.); -#1166=VECTOR('',#8148,1000.); -#1167=VECTOR('',#8149,1000.); -#1168=VECTOR('',#8150,1000.); -#1169=VECTOR('',#8153,1000.); -#1170=VECTOR('',#8154,1000.); -#1171=VECTOR('',#8155,1000.); -#1172=VECTOR('',#8160,1000.); -#1173=VECTOR('',#8161,1000.); -#1174=VECTOR('',#8162,1000.); -#1175=VECTOR('',#8163,1000.); -#1176=VECTOR('',#8164,1000.); -#1177=VECTOR('',#8165,1000.); -#1178=VECTOR('',#8166,1000.); -#1179=VECTOR('',#8167,1000.); -#1180=VECTOR('',#8168,1000.); -#1181=VECTOR('',#8169,1000.); -#1182=VECTOR('',#8170,1000.); -#1183=VECTOR('',#8171,1000.); -#1184=VECTOR('',#8172,1000.); -#1185=VECTOR('',#8173,1000.); -#1186=VECTOR('',#8174,1000.); -#1187=VECTOR('',#8175,1000.); -#1188=VECTOR('',#8178,1000.); -#1189=VECTOR('',#8179,1000.); -#1190=VECTOR('',#8180,1000.); -#1191=VECTOR('',#8181,1000.); -#1192=VECTOR('',#8184,1000.); -#1193=VECTOR('',#8187,1000.); -#1194=VECTOR('',#8188,1000.); -#1195=VECTOR('',#8189,1000.); -#1196=VECTOR('',#8190,1000.); -#1197=VECTOR('',#8191,1000.); -#1198=VECTOR('',#8192,1000.); -#1199=VECTOR('',#8193,1000.); -#1200=VECTOR('',#8196,1000.); -#1201=VECTOR('',#8197,1000.); -#1202=VECTOR('',#8198,1000.); -#1203=VECTOR('',#8199,1000.); -#1204=VECTOR('',#8202,1000.); -#1205=VECTOR('',#8203,1000.); -#1206=VECTOR('',#8204,1000.); -#1207=VECTOR('',#8205,1000.); -#1208=VECTOR('',#8208,1000.); -#1209=VECTOR('',#8209,1000.); -#1210=VECTOR('',#8210,1000.); -#1211=VECTOR('',#8213,1000.); -#1212=VECTOR('',#8214,1000.); -#1213=VECTOR('',#8215,1000.); -#1214=VECTOR('',#8216,1000.); -#1215=VECTOR('',#8217,1000.); -#1216=VECTOR('',#8218,1000.); -#1217=VECTOR('',#8219,1000.); -#1218=VECTOR('',#8220,1000.); -#1219=VECTOR('',#8221,1000.); -#1220=VECTOR('',#8222,1000.); -#1221=VECTOR('',#8223,1000.); -#1222=VECTOR('',#8224,1000.); -#1223=VECTOR('',#8225,1000.); -#1224=VECTOR('',#8226,1000.); -#1225=VECTOR('',#8227,1000.); -#1226=VECTOR('',#8228,1000.); -#1227=VECTOR('',#8229,1000.); -#1228=VECTOR('',#8230,1000.); -#1229=VECTOR('',#8231,1000.); -#1230=VECTOR('',#8232,1000.); -#1231=VECTOR('',#8233,1000.); -#1232=VECTOR('',#8234,1000.); -#1233=VECTOR('',#8235,1000.); -#1234=VECTOR('',#8236,1000.); -#1235=VECTOR('',#8237,1000.); -#1236=VECTOR('',#8238,1000.); -#1237=VECTOR('',#8239,1000.); -#1238=VECTOR('',#8240,1000.); -#1239=VECTOR('',#8241,1000.); -#1240=VECTOR('',#8242,1000.); -#1241=VECTOR('',#8243,1000.); -#1242=VECTOR('',#8244,1000.); -#1243=VECTOR('',#8245,1000.); -#1244=VECTOR('',#8246,1000.); -#1245=VECTOR('',#8247,1000.); -#1246=VECTOR('',#8248,1000.); -#1247=VECTOR('',#8249,1000.); -#1248=VECTOR('',#8250,1000.); -#1249=VECTOR('',#8251,1000.); -#1250=VECTOR('',#8252,1000.); -#1251=VECTOR('',#8253,1000.); -#1252=VECTOR('',#8254,1000.); -#1253=VECTOR('',#8255,1000.); -#1254=VECTOR('',#8256,1000.); -#1255=VECTOR('',#8257,1000.); -#1256=VECTOR('',#8258,1000.); -#1257=VECTOR('',#8259,1000.); -#1258=VECTOR('',#8260,1000.); -#1259=VECTOR('',#8261,1000.); -#1260=VECTOR('',#8262,1000.); -#1261=VECTOR('',#8263,1000.); -#1262=VECTOR('',#8264,1000.); -#1263=VECTOR('',#8265,1000.); -#1264=VECTOR('',#8266,1000.); -#1265=VECTOR('',#8269,1000.); -#1266=VECTOR('',#8270,1000.); -#1267=VECTOR('',#8271,1000.); -#1268=VECTOR('',#8272,1000.); -#1269=VECTOR('',#8275,1000.); -#1270=VECTOR('',#8276,1000.); -#1271=VECTOR('',#8279,1000.); -#1272=VECTOR('',#8280,1000.); -#1273=VECTOR('',#8281,1000.); -#1274=VECTOR('',#8282,1000.); -#1275=VECTOR('',#8285,1000.); -#1276=VECTOR('',#8286,1000.); -#1277=VECTOR('',#8287,1000.); -#1278=VECTOR('',#8290,1000.); -#1279=VECTOR('',#8291,1000.); -#1280=VECTOR('',#8292,1000.); -#1281=VECTOR('',#8295,1000.); -#1282=VECTOR('',#8296,1000.); -#1283=VECTOR('',#8297,1000.); -#1284=VECTOR('',#8300,1000.); -#1285=VECTOR('',#8301,1000.); -#1286=VECTOR('',#8302,1000.); -#1287=VECTOR('',#8303,1000.); -#1288=VECTOR('',#8306,1000.); -#1289=VECTOR('',#8307,1000.); -#1290=VECTOR('',#8308,1000.); -#1291=VECTOR('',#8311,1000.); -#1292=VECTOR('',#8312,1000.); -#1293=VECTOR('',#8313,1000.); -#1294=VECTOR('',#8314,1000.); -#1295=VECTOR('',#8317,1000.); -#1296=VECTOR('',#8318,1000.); -#1297=VECTOR('',#8319,1000.); -#1298=VECTOR('',#8320,1000.); -#1299=VECTOR('',#8323,1000.); -#1300=VECTOR('',#8324,1000.); -#1301=VECTOR('',#8327,1000.); -#1302=VECTOR('',#8334,1000.); -#1303=VECTOR('',#8335,1000.); -#1304=VECTOR('',#8336,1000.); -#1305=VECTOR('',#8341,1000.); -#1306=VECTOR('',#8342,1000.); -#1307=VECTOR('',#8343,1000.); -#1308=VECTOR('',#8346,1000.); -#1309=VECTOR('',#8347,1000.); -#1310=VECTOR('',#8348,1000.); -#1311=VECTOR('',#8349,1000.); -#1312=VECTOR('',#8352,1000.); -#1313=VECTOR('',#8353,1000.); -#1314=VECTOR('',#8354,1000.); -#1315=VECTOR('',#8355,1000.); -#1316=VECTOR('',#8358,1000.); -#1317=VECTOR('',#8359,1000.); -#1318=VECTOR('',#8362,1000.); -#1319=VECTOR('',#8363,1000.); -#1320=VECTOR('',#8366,1000.); -#1321=VECTOR('',#8369,1000.); -#1322=VECTOR('',#8378,1000.); -#1323=VECTOR('',#8379,1000.); -#1324=VECTOR('',#8384,1000.); -#1325=VECTOR('',#8385,1000.); -#1326=VECTOR('',#8402,1000.); -#1327=VECTOR('',#8403,1000.); -#1328=VECTOR('',#8408,1000.); -#1329=VECTOR('',#8409,1000.); -#1330=VECTOR('',#8426,1000.); -#1331=VECTOR('',#8427,1000.); -#1332=VECTOR('',#8428,1000.); -#1333=VECTOR('',#8431,1000.); -#1334=VECTOR('',#8432,1000.); -#1335=VECTOR('',#8435,1000.); -#1336=VECTOR('',#8436,1000.); -#1337=VECTOR('',#8439,1000.); -#1338=VECTOR('',#8442,1000.); -#1339=VECTOR('',#8443,1000.); -#1340=VECTOR('',#8446,1000.); -#1341=VECTOR('',#8447,1000.); -#1342=VECTOR('',#8450,1000.); -#1343=VECTOR('',#8455,1000.); -#1344=VECTOR('',#8456,1000.); -#1345=VECTOR('',#8457,1000.); -#1346=VECTOR('',#8460,1000.); -#1347=VECTOR('',#8461,1000.); -#1348=VECTOR('',#8464,1000.); -#1349=VECTOR('',#8465,1000.); -#1350=VECTOR('',#8468,1000.); -#1351=VECTOR('',#8471,1000.); -#1352=VECTOR('',#8472,1000.); -#1353=VECTOR('',#8475,1000.); -#1354=VECTOR('',#8476,1000.); -#1355=VECTOR('',#8479,1000.); -#1356=VECTOR('',#8484,1000.); -#1357=VECTOR('',#8485,1000.); -#1358=VECTOR('',#8486,1000.); -#1359=VECTOR('',#8489,1000.); -#1360=VECTOR('',#8490,1000.); -#1361=VECTOR('',#8493,1000.); -#1362=VECTOR('',#8494,1000.); -#1363=VECTOR('',#8497,1000.); -#1364=VECTOR('',#8500,1000.); -#1365=VECTOR('',#8501,1000.); -#1366=VECTOR('',#8504,1000.); -#1367=VECTOR('',#8505,1000.); -#1368=VECTOR('',#8508,1000.); -#1369=VECTOR('',#8513,1000.); -#1370=VECTOR('',#8514,1000.); -#1371=VECTOR('',#8515,1000.); -#1372=VECTOR('',#8518,1000.); -#1373=VECTOR('',#8519,1000.); -#1374=VECTOR('',#8522,1000.); -#1375=VECTOR('',#8523,1000.); -#1376=VECTOR('',#8526,1000.); -#1377=VECTOR('',#8527,1000.); -#1378=VECTOR('',#8530,1000.); -#1379=VECTOR('',#8531,1000.); -#1380=VECTOR('',#8534,1000.); -#1381=VECTOR('',#8537,1000.); -#1382=VECTOR('',#8542,1000.); -#1383=VECTOR('',#8543,1000.); -#1384=VECTOR('',#8544,1000.); -#1385=VECTOR('',#8547,1000.); -#1386=VECTOR('',#8548,1000.); -#1387=VECTOR('',#8549,1000.); -#1388=VECTOR('',#8550,1000.); -#1389=VECTOR('',#8551,1000.); -#1390=VECTOR('',#8554,1000.); -#1391=VECTOR('',#8555,1000.); -#1392=VECTOR('',#8558,1000.); -#1393=VECTOR('',#8559,1000.); -#1394=VECTOR('',#8560,1000.); -#1395=VECTOR('',#8561,1000.); -#1396=VECTOR('',#8566,1000.); -#1397=VECTOR('',#8573,1000.); -#1398=VECTOR('',#8576,1000.); -#1399=VECTOR('',#8581,1000.); -#1400=VECTOR('',#8584,1000.); -#1401=VECTOR('',#8589,1000.); -#1402=VECTOR('',#8594,1000.); -#1403=VECTOR('',#8595,1000.); -#1404=VECTOR('',#8598,1000.); -#1405=VECTOR('',#8599,1000.); -#1406=VECTOR('',#8602,1000.); -#1407=VECTOR('',#8603,1000.); -#1408=VECTOR('',#8606,1000.); -#1409=VECTOR('',#8613,1000.); -#1410=VECTOR('',#8614,1000.); -#1411=VECTOR('',#8617,1000.); -#1412=VECTOR('',#8618,1000.); -#1413=VECTOR('',#8625,1000.); -#1414=VECTOR('',#8626,1000.); -#1415=VECTOR('',#8629,1000.); -#1416=VECTOR('',#8630,1000.); -#1417=VECTOR('',#8633,1000.); -#1418=VECTOR('',#8634,1000.); -#1419=VECTOR('',#8637,1000.); -#1420=VECTOR('',#8648,1000.); -#1421=VECTOR('',#8649,1000.); -#1422=VECTOR('',#8652,1000.); -#1423=VECTOR('',#8653,1000.); -#1424=VECTOR('',#8656,1000.); -#1425=VECTOR('',#8657,1000.); -#1426=VECTOR('',#8660,1000.); -#1427=VECTOR('',#8669,1000.); -#1428=VECTOR('',#8670,1000.); -#1429=VECTOR('',#8671,1000.); -#1430=VECTOR('',#8672,1000.); -#1431=VECTOR('',#8675,1000.); -#1432=VECTOR('',#8676,1000.); -#1433=VECTOR('',#8683,1000.); -#1434=VECTOR('',#8684,1000.); -#1435=VECTOR('',#8687,1000.); -#1436=VECTOR('',#8690,1000.); -#1437=VECTOR('',#8699,1000.); -#1438=VECTOR('',#8700,1000.); -#1439=VECTOR('',#8703,1000.); -#1440=VECTOR('',#8706,1000.); -#1441=VECTOR('',#8713,1000.); -#1442=VECTOR('',#8714,1000.); -#1443=VECTOR('',#8717,1000.); -#1444=VECTOR('',#8718,1000.); -#1445=VECTOR('',#8721,1000.); -#1446=VECTOR('',#8724,1000.); -#1447=VECTOR('',#8727,1000.); -#1448=VECTOR('',#8730,1000.); -#1449=VECTOR('',#8743,1000.); -#1450=VECTOR('',#8744,1000.); -#1451=VECTOR('',#8745,1000.); -#1452=VECTOR('',#8748,1000.); -#1453=VECTOR('',#8749,1000.); -#1454=VECTOR('',#8756,1000.); -#1455=VECTOR('',#8757,1000.); -#1456=VECTOR('',#8760,1000.); -#1457=VECTOR('',#8761,1000.); -#1458=VECTOR('',#8764,1000.); -#1459=VECTOR('',#8767,1000.); -#1460=VECTOR('',#8776,1000.); -#1461=VECTOR('',#8777,1000.); -#1462=VECTOR('',#8780,1000.); -#1463=VECTOR('',#8783,1000.); -#1464=VECTOR('',#8786,1000.); -#1465=VECTOR('',#8789,1000.); -#1466=VECTOR('',#8792,1000.); -#1467=VECTOR('',#8795,1000.); -#1468=VECTOR('',#8798,1000.); -#1469=VECTOR('',#8801,1000.); -#1470=VECTOR('',#8804,1000.); -#1471=VECTOR('',#8807,1000.); -#1472=VECTOR('',#8810,1000.); -#1473=VECTOR('',#8819,1000.); -#1474=VECTOR('',#8820,1000.); -#1475=VECTOR('',#8821,1000.); -#1476=VECTOR('',#8822,1000.); -#1477=VECTOR('',#8825,1000.); -#1478=VECTOR('',#8826,1000.); -#1479=VECTOR('',#8831,1000.); -#1480=VECTOR('',#8832,1000.); -#1481=VECTOR('',#8835,1000.); -#1482=VECTOR('',#8836,1000.); -#1483=VECTOR('',#8839,1000.); -#1484=VECTOR('',#8842,1000.); -#1485=VECTOR('',#8845,1000.); -#1486=VECTOR('',#8848,1000.); -#1487=VECTOR('',#8855,1000.); -#1488=VECTOR('',#8856,1000.); -#1489=VECTOR('',#8859,1000.); -#1490=VECTOR('',#8860,1000.); -#1491=VECTOR('',#8869,1000.); -#1492=VECTOR('',#8870,1000.); -#1493=VECTOR('',#8873,1000.); -#1494=VECTOR('',#8876,1000.); -#1495=VECTOR('',#8879,1000.); -#1496=VECTOR('',#8882,1000.); -#1497=VECTOR('',#8891,1000.); -#1498=VECTOR('',#8892,1000.); -#1499=VECTOR('',#8893,1000.); -#1500=VECTOR('',#8896,1000.); -#1501=VECTOR('',#8899,1000.); -#1502=VECTOR('',#8902,1000.); -#1503=VECTOR('',#8905,1000.); -#1504=VECTOR('',#8908,1000.); -#1505=VECTOR('',#8911,1000.); -#1506=VECTOR('',#8914,1000.); -#1507=VECTOR('',#8917,1000.); -#1508=VECTOR('',#8920,1000.); -#1509=VECTOR('',#8921,1000.); -#1510=VECTOR('',#8930,1000.); -#1511=VECTOR('',#8931,1000.); -#1512=VECTOR('',#8932,1000.); -#1513=VECTOR('',#8935,1000.); -#1514=VECTOR('',#8938,1000.); -#1515=VECTOR('',#8941,1000.); -#1516=VECTOR('',#8944,1000.); -#1517=VECTOR('',#8947,1000.); -#1518=VECTOR('',#8950,1000.); -#1519=VECTOR('',#8953,1000.); -#1520=VECTOR('',#8956,1000.); -#1521=VECTOR('',#8959,1000.); -#1522=VECTOR('',#8960,1000.); -#1523=VECTOR('',#8969,1000.); -#1524=VECTOR('',#8970,1000.); -#1525=VECTOR('',#8973,1000.); -#1526=VECTOR('',#8974,1000.); -#1527=VECTOR('',#8977,1000.); -#1528=VECTOR('',#8980,1000.); -#1529=VECTOR('',#8983,1000.); -#1530=VECTOR('',#8990,1000.); -#1531=VECTOR('',#8991,1000.); -#1532=VECTOR('',#9010,1000.); -#1533=VECTOR('',#9011,1000.); -#1534=VECTOR('',#9014,1000.); -#1535=VECTOR('',#9015,1000.); -#1536=VECTOR('',#9016,1000.); -#1537=VECTOR('',#9019,1000.); -#1538=VECTOR('',#9020,1000.); -#1539=VECTOR('',#9021,1000.); -#1540=VECTOR('',#9024,1000.); -#1541=VECTOR('',#9025,1000.); -#1542=VECTOR('',#9026,1000.); -#1543=VECTOR('',#9029,1000.); -#1544=VECTOR('',#9030,1000.); -#1545=VECTOR('',#9031,1000.); -#1546=VECTOR('',#9034,1000.); -#1547=VECTOR('',#9035,1000.); -#1548=VECTOR('',#9046,1000.); -#1549=VECTOR('',#9047,1000.); -#1550=VECTOR('',#9056,1000.); -#1551=VECTOR('',#9057,1000.); -#1552=VECTOR('',#9058,1000.); -#1553=VECTOR('',#9059,1000.); -#1554=VECTOR('',#9060,1000.); -#1555=VECTOR('',#9061,1000.); -#1556=VECTOR('',#9064,1000.); -#1557=VECTOR('',#9065,1000.); -#1558=VECTOR('',#9068,1000.); -#1559=VECTOR('',#9069,1000.); -#1560=VECTOR('',#9074,1000.); -#1561=VECTOR('',#9075,1000.); -#1562=VECTOR('',#9078,1000.); -#1563=VECTOR('',#9079,1000.); -#1564=VECTOR('',#9118,1000.); -#1565=VECTOR('',#9119,1000.); -#1566=VECTOR('',#9124,1000.); -#1567=VECTOR('',#9125,1000.); -#1568=VECTOR('',#9132,1000.); -#1569=VECTOR('',#9133,1000.); -#1570=VECTOR('',#9136,1000.); -#1571=VECTOR('',#9137,1000.); -#1572=VECTOR('',#9140,1000.); -#1573=VECTOR('',#9143,1000.); -#1574=VECTOR('',#9148,1000.); -#1575=VECTOR('',#9149,1000.); -#1576=VECTOR('',#9152,1000.); -#1577=VECTOR('',#9155,1000.); -#1578=VECTOR('',#9158,1000.); -#1579=VECTOR('',#9161,1000.); -#1580=VECTOR('',#9164,1000.); -#1581=VECTOR('',#9165,1000.); -#1582=VECTOR('',#9166,1000.); -#1583=VECTOR('',#9167,1000.); -#1584=VECTOR('',#9168,1000.); -#1585=VECTOR('',#9171,1000.); -#1586=VECTOR('',#9172,1000.); -#1587=VECTOR('',#9173,1000.); -#1588=VECTOR('',#9174,1000.); -#1589=VECTOR('',#9175,1000.); -#1590=VECTOR('',#9180,1000.); -#1591=VECTOR('',#9183,1000.); -#1592=VECTOR('',#9186,1000.); -#1593=VECTOR('',#9189,1000.); -#1594=VECTOR('',#9192,1000.); -#1595=VECTOR('',#9195,1000.); -#1596=VECTOR('',#9198,1000.); -#1597=VECTOR('',#9203,1000.); -#1598=ORIENTED_EDGE('',*,*,#3266,.F.); -#1599=ORIENTED_EDGE('',*,*,#3267,.F.); -#1600=ORIENTED_EDGE('',*,*,#3268,.F.); -#1601=ORIENTED_EDGE('',*,*,#3269,.F.); -#1602=ORIENTED_EDGE('',*,*,#3270,.F.); -#1603=ORIENTED_EDGE('',*,*,#3271,.T.); -#1604=ORIENTED_EDGE('',*,*,#3272,.F.); -#1605=ORIENTED_EDGE('',*,*,#3273,.F.); -#1606=ORIENTED_EDGE('',*,*,#3274,.F.); -#1607=ORIENTED_EDGE('',*,*,#3272,.T.); -#1608=ORIENTED_EDGE('',*,*,#3275,.T.); -#1609=ORIENTED_EDGE('',*,*,#3276,.F.); -#1610=ORIENTED_EDGE('',*,*,#3277,.T.); -#1611=ORIENTED_EDGE('',*,*,#3270,.T.); -#1612=ORIENTED_EDGE('',*,*,#3278,.F.); -#1613=ORIENTED_EDGE('',*,*,#3279,.T.); -#1614=ORIENTED_EDGE('',*,*,#3278,.T.); -#1615=ORIENTED_EDGE('',*,*,#3273,.T.); -#1616=ORIENTED_EDGE('',*,*,#3274,.T.); -#1617=ORIENTED_EDGE('',*,*,#3280,.T.); -#1618=ORIENTED_EDGE('',*,*,#3281,.F.); -#1619=ORIENTED_EDGE('',*,*,#3268,.T.); -#1620=ORIENTED_EDGE('',*,*,#3282,.T.); -#1621=ORIENTED_EDGE('',*,*,#3283,.T.); -#1622=ORIENTED_EDGE('',*,*,#3284,.T.); -#1623=ORIENTED_EDGE('',*,*,#3266,.T.); -#1624=ORIENTED_EDGE('',*,*,#3285,.F.); -#1625=ORIENTED_EDGE('',*,*,#3286,.F.); -#1626=ORIENTED_EDGE('',*,*,#3282,.F.); -#1627=ORIENTED_EDGE('',*,*,#3267,.T.); -#1628=ORIENTED_EDGE('',*,*,#3284,.F.); -#1629=ORIENTED_EDGE('',*,*,#3287,.F.); -#1630=ORIENTED_EDGE('',*,*,#3288,.F.); -#1631=ORIENTED_EDGE('',*,*,#3289,.F.); -#1632=ORIENTED_EDGE('',*,*,#3290,.T.); -#1633=ORIENTED_EDGE('',*,*,#3291,.T.); -#1634=ORIENTED_EDGE('',*,*,#3279,.F.); -#1635=ORIENTED_EDGE('',*,*,#3280,.F.); -#1636=ORIENTED_EDGE('',*,*,#3276,.T.); -#1637=ORIENTED_EDGE('',*,*,#3292,.F.); -#1638=ORIENTED_EDGE('',*,*,#3291,.F.); -#1639=ORIENTED_EDGE('',*,*,#3293,.T.); -#1640=ORIENTED_EDGE('',*,*,#3294,.T.); -#1641=ORIENTED_EDGE('',*,*,#3295,.F.); -#1642=ORIENTED_EDGE('',*,*,#3296,.F.); -#1643=ORIENTED_EDGE('',*,*,#3294,.F.); -#1644=ORIENTED_EDGE('',*,*,#3297,.T.); -#1645=ORIENTED_EDGE('',*,*,#3298,.T.); -#1646=ORIENTED_EDGE('',*,*,#3283,.F.); -#1647=ORIENTED_EDGE('',*,*,#3287,.T.); -#1648=ORIENTED_EDGE('',*,*,#3286,.T.); -#1649=ORIENTED_EDGE('',*,*,#3299,.F.); -#1650=ORIENTED_EDGE('',*,*,#3298,.F.); -#1651=ORIENTED_EDGE('',*,*,#3300,.T.); -#1652=ORIENTED_EDGE('',*,*,#3289,.T.); -#1653=ORIENTED_EDGE('',*,*,#3301,.F.); -#1654=ORIENTED_EDGE('',*,*,#3290,.F.); -#1655=ORIENTED_EDGE('',*,*,#3300,.F.); -#1656=ORIENTED_EDGE('',*,*,#3297,.F.); -#1657=ORIENTED_EDGE('',*,*,#3293,.F.); -#1658=ORIENTED_EDGE('',*,*,#3302,.F.); -#1659=ORIENTED_EDGE('',*,*,#3303,.F.); -#1660=ORIENTED_EDGE('',*,*,#3304,.T.); -#1661=ORIENTED_EDGE('',*,*,#3305,.T.); -#1662=ORIENTED_EDGE('',*,*,#3306,.T.); -#1663=ORIENTED_EDGE('',*,*,#3307,.T.); -#1664=ORIENTED_EDGE('',*,*,#3308,.T.); -#1665=ORIENTED_EDGE('',*,*,#3309,.T.); -#1666=ORIENTED_EDGE('',*,*,#3310,.T.); -#1667=ORIENTED_EDGE('',*,*,#3311,.F.); -#1668=ORIENTED_EDGE('',*,*,#3312,.F.); -#1669=ORIENTED_EDGE('',*,*,#3313,.F.); -#1670=ORIENTED_EDGE('',*,*,#3314,.T.); -#1671=ORIENTED_EDGE('',*,*,#3315,.T.); -#1672=ORIENTED_EDGE('',*,*,#3316,.T.); -#1673=ORIENTED_EDGE('',*,*,#3317,.T.); -#1674=ORIENTED_EDGE('',*,*,#3318,.F.); -#1675=ORIENTED_EDGE('',*,*,#3319,.T.); -#1676=ORIENTED_EDGE('',*,*,#3320,.F.); -#1677=ORIENTED_EDGE('',*,*,#3321,.F.); -#1678=ORIENTED_EDGE('',*,*,#3322,.T.); -#1679=ORIENTED_EDGE('',*,*,#3323,.T.); -#1680=ORIENTED_EDGE('',*,*,#3324,.T.); -#1681=ORIENTED_EDGE('',*,*,#3325,.T.); -#1682=ORIENTED_EDGE('',*,*,#3326,.F.); -#1683=ORIENTED_EDGE('',*,*,#3327,.F.); -#1684=ORIENTED_EDGE('',*,*,#3328,.F.); -#1685=ORIENTED_EDGE('',*,*,#3329,.T.); -#1686=ORIENTED_EDGE('',*,*,#3330,.F.); -#1687=ORIENTED_EDGE('',*,*,#3331,.F.); -#1688=ORIENTED_EDGE('',*,*,#3332,.T.); -#1689=ORIENTED_EDGE('',*,*,#3333,.T.); -#1690=ORIENTED_EDGE('',*,*,#3334,.T.); -#1691=ORIENTED_EDGE('',*,*,#3335,.F.); -#1692=ORIENTED_EDGE('',*,*,#3336,.T.); -#1693=ORIENTED_EDGE('',*,*,#3337,.T.); -#1694=ORIENTED_EDGE('',*,*,#3338,.T.); -#1695=ORIENTED_EDGE('',*,*,#3339,.F.); -#1696=ORIENTED_EDGE('',*,*,#3340,.T.); -#1697=ORIENTED_EDGE('',*,*,#3341,.T.); -#1698=ORIENTED_EDGE('',*,*,#3321,.T.); -#1699=ORIENTED_EDGE('',*,*,#3342,.F.); -#1700=ORIENTED_EDGE('',*,*,#3343,.F.); -#1701=ORIENTED_EDGE('',*,*,#3330,.T.); -#1702=ORIENTED_EDGE('',*,*,#3344,.F.); -#1703=ORIENTED_EDGE('',*,*,#3345,.F.); -#1704=ORIENTED_EDGE('',*,*,#3346,.F.); -#1705=ORIENTED_EDGE('',*,*,#3347,.F.); -#1706=ORIENTED_EDGE('',*,*,#3348,.F.); -#1707=ORIENTED_EDGE('',*,*,#3349,.F.); -#1708=ORIENTED_EDGE('',*,*,#3350,.F.); -#1709=ORIENTED_EDGE('',*,*,#3326,.T.); -#1710=ORIENTED_EDGE('',*,*,#3351,.T.); -#1711=ORIENTED_EDGE('',*,*,#3352,.F.); -#1712=ORIENTED_EDGE('',*,*,#3353,.F.); -#1713=ORIENTED_EDGE('',*,*,#3354,.F.); -#1714=ORIENTED_EDGE('',*,*,#3335,.T.); -#1715=ORIENTED_EDGE('',*,*,#3355,.F.); -#1716=ORIENTED_EDGE('',*,*,#3356,.F.); -#1717=ORIENTED_EDGE('',*,*,#3357,.F.); -#1718=ORIENTED_EDGE('',*,*,#3358,.T.); -#1719=ORIENTED_EDGE('',*,*,#3359,.T.); -#1720=ORIENTED_EDGE('',*,*,#3360,.T.); -#1721=ORIENTED_EDGE('',*,*,#3361,.T.); -#1722=ORIENTED_EDGE('',*,*,#3362,.T.); -#1723=ORIENTED_EDGE('',*,*,#3363,.T.); -#1724=ORIENTED_EDGE('',*,*,#3364,.T.); -#1725=ORIENTED_EDGE('',*,*,#3365,.T.); -#1726=ORIENTED_EDGE('',*,*,#3366,.T.); -#1727=ORIENTED_EDGE('',*,*,#3367,.T.); -#1728=ORIENTED_EDGE('',*,*,#3368,.T.); -#1729=ORIENTED_EDGE('',*,*,#3369,.T.); -#1730=ORIENTED_EDGE('',*,*,#3370,.T.); -#1731=ORIENTED_EDGE('',*,*,#3371,.T.); -#1732=ORIENTED_EDGE('',*,*,#3372,.T.); -#1733=ORIENTED_EDGE('',*,*,#3373,.T.); -#1734=ORIENTED_EDGE('',*,*,#3374,.T.); -#1735=ORIENTED_EDGE('',*,*,#3375,.T.); -#1736=ORIENTED_EDGE('',*,*,#3376,.T.); -#1737=ORIENTED_EDGE('',*,*,#3377,.T.); -#1738=ORIENTED_EDGE('',*,*,#3378,.T.); -#1739=ORIENTED_EDGE('',*,*,#3379,.T.); -#1740=ORIENTED_EDGE('',*,*,#3339,.T.); -#1741=ORIENTED_EDGE('',*,*,#3380,.T.); -#1742=ORIENTED_EDGE('',*,*,#3381,.T.); -#1743=ORIENTED_EDGE('',*,*,#3382,.T.); -#1744=ORIENTED_EDGE('',*,*,#3342,.T.); -#1745=ORIENTED_EDGE('',*,*,#3320,.T.); -#1746=ORIENTED_EDGE('',*,*,#3383,.F.); -#1747=ORIENTED_EDGE('',*,*,#3384,.F.); -#1748=ORIENTED_EDGE('',*,*,#3385,.F.); -#1749=ORIENTED_EDGE('',*,*,#3386,.F.); -#1750=ORIENTED_EDGE('',*,*,#3387,.F.); -#1751=ORIENTED_EDGE('',*,*,#3388,.F.); -#1752=ORIENTED_EDGE('',*,*,#3389,.F.); -#1753=ORIENTED_EDGE('',*,*,#3390,.F.); -#1754=ORIENTED_EDGE('',*,*,#3391,.F.); -#1755=ORIENTED_EDGE('',*,*,#3392,.F.); -#1756=ORIENTED_EDGE('',*,*,#3393,.F.); -#1757=ORIENTED_EDGE('',*,*,#3394,.F.); -#1758=ORIENTED_EDGE('',*,*,#3395,.F.); -#1759=ORIENTED_EDGE('',*,*,#3396,.F.); -#1760=ORIENTED_EDGE('',*,*,#3269,.T.); -#1761=ORIENTED_EDGE('',*,*,#3281,.T.); -#1762=ORIENTED_EDGE('',*,*,#3301,.T.); -#1763=ORIENTED_EDGE('',*,*,#3288,.T.); -#1764=ORIENTED_EDGE('',*,*,#3292,.T.); -#1765=ORIENTED_EDGE('',*,*,#3275,.F.); -#1766=ORIENTED_EDGE('',*,*,#3271,.F.); -#1767=ORIENTED_EDGE('',*,*,#3277,.F.); -#1768=ORIENTED_EDGE('',*,*,#3295,.T.); -#1769=ORIENTED_EDGE('',*,*,#3296,.T.); -#1770=ORIENTED_EDGE('',*,*,#3299,.T.); -#1771=ORIENTED_EDGE('',*,*,#3285,.T.); -#1772=ORIENTED_EDGE('',*,*,#3397,.F.); -#1773=ORIENTED_EDGE('',*,*,#3398,.F.); -#1774=ORIENTED_EDGE('',*,*,#3399,.T.); -#1775=ORIENTED_EDGE('',*,*,#3400,.T.); -#1776=ORIENTED_EDGE('',*,*,#3325,.F.); -#1777=ORIENTED_EDGE('',*,*,#3401,.T.); -#1778=ORIENTED_EDGE('',*,*,#3402,.T.); -#1779=ORIENTED_EDGE('',*,*,#3351,.F.); -#1780=ORIENTED_EDGE('',*,*,#3403,.F.); -#1781=ORIENTED_EDGE('',*,*,#3404,.T.); -#1782=ORIENTED_EDGE('',*,*,#3405,.F.); -#1783=ORIENTED_EDGE('',*,*,#3406,.T.); -#1784=ORIENTED_EDGE('',*,*,#3323,.F.); -#1785=ORIENTED_EDGE('',*,*,#3407,.T.); -#1786=ORIENTED_EDGE('',*,*,#3408,.F.); -#1787=ORIENTED_EDGE('',*,*,#3409,.T.); -#1788=ORIENTED_EDGE('',*,*,#3410,.T.); -#1789=ORIENTED_EDGE('',*,*,#3411,.T.); -#1790=ORIENTED_EDGE('',*,*,#3412,.T.); -#1791=ORIENTED_EDGE('',*,*,#3358,.F.); -#1792=ORIENTED_EDGE('',*,*,#3413,.F.); -#1793=ORIENTED_EDGE('',*,*,#3414,.T.); -#1794=ORIENTED_EDGE('',*,*,#3415,.T.); -#1795=ORIENTED_EDGE('',*,*,#3376,.F.); -#1796=ORIENTED_EDGE('',*,*,#3416,.F.); -#1797=ORIENTED_EDGE('',*,*,#3417,.T.); -#1798=ORIENTED_EDGE('',*,*,#3418,.F.); -#1799=ORIENTED_EDGE('',*,*,#3419,.T.); -#1800=ORIENTED_EDGE('',*,*,#3420,.T.); -#1801=ORIENTED_EDGE('',*,*,#3421,.T.); -#1802=ORIENTED_EDGE('',*,*,#3389,.T.); -#1803=ORIENTED_EDGE('',*,*,#3422,.F.); -#1804=ORIENTED_EDGE('',*,*,#3423,.F.); -#1805=ORIENTED_EDGE('',*,*,#3424,.T.); -#1806=ORIENTED_EDGE('',*,*,#3425,.F.); -#1807=ORIENTED_EDGE('',*,*,#3426,.F.); -#1808=ORIENTED_EDGE('',*,*,#3422,.T.); -#1809=ORIENTED_EDGE('',*,*,#3392,.T.); -#1810=ORIENTED_EDGE('',*,*,#3427,.F.); -#1811=ORIENTED_EDGE('',*,*,#3428,.F.); -#1812=ORIENTED_EDGE('',*,*,#3429,.T.); -#1813=ORIENTED_EDGE('',*,*,#3390,.T.); -#1814=ORIENTED_EDGE('',*,*,#3421,.F.); -#1815=ORIENTED_EDGE('',*,*,#3430,.T.); -#1816=ORIENTED_EDGE('',*,*,#3431,.T.); -#1817=ORIENTED_EDGE('',*,*,#3427,.T.); -#1818=ORIENTED_EDGE('',*,*,#3432,.T.); -#1819=ORIENTED_EDGE('',*,*,#3425,.T.); -#1820=ORIENTED_EDGE('',*,*,#3429,.F.); -#1821=ORIENTED_EDGE('',*,*,#3433,.T.); -#1822=ORIENTED_EDGE('',*,*,#3423,.T.); -#1823=ORIENTED_EDGE('',*,*,#3391,.T.); -#1824=ORIENTED_EDGE('',*,*,#3426,.T.); -#1825=ORIENTED_EDGE('',*,*,#3432,.F.); -#1826=ORIENTED_EDGE('',*,*,#3430,.F.); -#1827=ORIENTED_EDGE('',*,*,#3420,.F.); -#1828=ORIENTED_EDGE('',*,*,#3433,.F.); -#1829=ORIENTED_EDGE('',*,*,#3428,.T.); -#1830=ORIENTED_EDGE('',*,*,#3431,.F.); -#1831=ORIENTED_EDGE('',*,*,#3424,.F.); -#1832=ORIENTED_EDGE('',*,*,#3434,.F.); -#1833=ORIENTED_EDGE('',*,*,#3435,.T.); -#1834=ORIENTED_EDGE('',*,*,#3436,.T.); -#1835=ORIENTED_EDGE('',*,*,#3359,.F.); -#1836=ORIENTED_EDGE('',*,*,#3412,.F.); -#1837=ORIENTED_EDGE('',*,*,#3437,.T.); -#1838=ORIENTED_EDGE('',*,*,#3418,.T.); -#1839=ORIENTED_EDGE('',*,*,#3438,.T.); -#1840=ORIENTED_EDGE('',*,*,#3413,.T.); -#1841=ORIENTED_EDGE('',*,*,#3375,.F.); -#1842=ORIENTED_EDGE('',*,*,#3439,.F.); -#1843=ORIENTED_EDGE('',*,*,#3440,.T.); -#1844=ORIENTED_EDGE('',*,*,#3441,.T.); -#1845=ORIENTED_EDGE('',*,*,#3367,.F.); -#1846=ORIENTED_EDGE('',*,*,#3442,.T.); -#1847=ORIENTED_EDGE('',*,*,#3443,.F.); -#1848=ORIENTED_EDGE('',*,*,#3444,.F.); -#1849=ORIENTED_EDGE('',*,*,#3445,.F.); -#1850=ORIENTED_EDGE('',*,*,#3446,.F.); -#1851=ORIENTED_EDGE('',*,*,#3447,.T.); -#1852=ORIENTED_EDGE('',*,*,#3448,.F.); -#1853=ORIENTED_EDGE('',*,*,#3449,.F.); -#1854=ORIENTED_EDGE('',*,*,#3450,.F.); -#1855=ORIENTED_EDGE('',*,*,#3446,.T.); -#1856=ORIENTED_EDGE('',*,*,#3451,.T.); -#1857=ORIENTED_EDGE('',*,*,#3395,.T.); -#1858=ORIENTED_EDGE('',*,*,#3448,.T.); -#1859=ORIENTED_EDGE('',*,*,#3452,.T.); -#1860=ORIENTED_EDGE('',*,*,#3443,.T.); -#1861=ORIENTED_EDGE('',*,*,#3453,.T.); -#1862=ORIENTED_EDGE('',*,*,#3452,.F.); -#1863=ORIENTED_EDGE('',*,*,#3447,.F.); -#1864=ORIENTED_EDGE('',*,*,#3450,.T.); -#1865=ORIENTED_EDGE('',*,*,#3394,.T.); -#1866=ORIENTED_EDGE('',*,*,#3454,.F.); -#1867=ORIENTED_EDGE('',*,*,#3444,.T.); -#1868=ORIENTED_EDGE('',*,*,#3451,.F.); -#1869=ORIENTED_EDGE('',*,*,#3449,.T.); -#1870=ORIENTED_EDGE('',*,*,#3453,.F.); -#1871=ORIENTED_EDGE('',*,*,#3442,.F.); -#1872=ORIENTED_EDGE('',*,*,#3455,.T.); -#1873=ORIENTED_EDGE('',*,*,#3396,.T.); -#1874=ORIENTED_EDGE('',*,*,#3445,.T.); -#1875=ORIENTED_EDGE('',*,*,#3454,.T.); -#1876=ORIENTED_EDGE('',*,*,#3393,.T.); -#1877=ORIENTED_EDGE('',*,*,#3455,.F.); -#1878=ORIENTED_EDGE('',*,*,#3415,.F.); -#1879=ORIENTED_EDGE('',*,*,#3456,.T.); -#1880=ORIENTED_EDGE('',*,*,#3416,.T.); -#1881=ORIENTED_EDGE('',*,*,#3457,.T.); -#1882=ORIENTED_EDGE('',*,*,#3410,.F.); -#1883=ORIENTED_EDGE('',*,*,#3357,.T.); -#1884=ORIENTED_EDGE('',*,*,#3458,.T.); -#1885=ORIENTED_EDGE('',*,*,#3305,.F.); -#1886=ORIENTED_EDGE('',*,*,#3459,.F.); -#1887=ORIENTED_EDGE('',*,*,#3377,.F.); -#1888=ORIENTED_EDGE('',*,*,#3405,.T.); -#1889=ORIENTED_EDGE('',*,*,#3460,.T.); -#1890=ORIENTED_EDGE('',*,*,#3336,.F.); -#1891=ORIENTED_EDGE('',*,*,#3379,.F.); -#1892=ORIENTED_EDGE('',*,*,#3461,.T.); -#1893=ORIENTED_EDGE('',*,*,#3303,.T.); -#1894=ORIENTED_EDGE('',*,*,#3462,.F.); -#1895=ORIENTED_EDGE('',*,*,#3355,.T.); -#1896=ORIENTED_EDGE('',*,*,#3334,.F.); -#1897=ORIENTED_EDGE('',*,*,#3463,.T.); -#1898=ORIENTED_EDGE('',*,*,#3459,.T.); -#1899=ORIENTED_EDGE('',*,*,#3304,.F.); -#1900=ORIENTED_EDGE('',*,*,#3461,.F.); -#1901=ORIENTED_EDGE('',*,*,#3378,.F.); -#1902=ORIENTED_EDGE('',*,*,#3356,.T.); -#1903=ORIENTED_EDGE('',*,*,#3462,.T.); -#1904=ORIENTED_EDGE('',*,*,#3302,.T.); -#1905=ORIENTED_EDGE('',*,*,#3458,.F.); -#1906=ORIENTED_EDGE('',*,*,#3457,.F.); -#1907=ORIENTED_EDGE('',*,*,#3419,.F.); -#1908=ORIENTED_EDGE('',*,*,#3437,.F.); -#1909=ORIENTED_EDGE('',*,*,#3411,.F.); -#1910=ORIENTED_EDGE('',*,*,#3438,.F.); -#1911=ORIENTED_EDGE('',*,*,#3417,.F.); -#1912=ORIENTED_EDGE('',*,*,#3456,.F.); -#1913=ORIENTED_EDGE('',*,*,#3414,.F.); -#1914=ORIENTED_EDGE('',*,*,#3338,.F.); -#1915=ORIENTED_EDGE('',*,*,#3464,.T.); -#1916=ORIENTED_EDGE('',*,*,#3403,.T.); -#1917=ORIENTED_EDGE('',*,*,#3465,.T.); -#1918=ORIENTED_EDGE('',*,*,#3332,.F.); -#1919=ORIENTED_EDGE('',*,*,#3354,.T.); -#1920=ORIENTED_EDGE('',*,*,#3466,.T.); -#1921=ORIENTED_EDGE('',*,*,#3400,.F.); -#1922=ORIENTED_EDGE('',*,*,#3467,.F.); -#1923=ORIENTED_EDGE('',*,*,#3380,.F.); -#1924=ORIENTED_EDGE('',*,*,#3408,.T.); -#1925=ORIENTED_EDGE('',*,*,#3468,.T.); -#1926=ORIENTED_EDGE('',*,*,#3340,.F.); -#1927=ORIENTED_EDGE('',*,*,#3382,.F.); -#1928=ORIENTED_EDGE('',*,*,#3469,.T.); -#1929=ORIENTED_EDGE('',*,*,#3398,.T.); -#1930=ORIENTED_EDGE('',*,*,#3470,.F.); -#1931=ORIENTED_EDGE('',*,*,#3352,.T.); -#1932=ORIENTED_EDGE('',*,*,#3402,.F.); -#1933=ORIENTED_EDGE('',*,*,#3471,.T.); -#1934=ORIENTED_EDGE('',*,*,#3467,.T.); -#1935=ORIENTED_EDGE('',*,*,#3399,.F.); -#1936=ORIENTED_EDGE('',*,*,#3469,.F.); -#1937=ORIENTED_EDGE('',*,*,#3381,.F.); -#1938=ORIENTED_EDGE('',*,*,#3353,.T.); -#1939=ORIENTED_EDGE('',*,*,#3470,.T.); -#1940=ORIENTED_EDGE('',*,*,#3397,.T.); -#1941=ORIENTED_EDGE('',*,*,#3466,.F.); -#1942=ORIENTED_EDGE('',*,*,#3465,.F.); -#1943=ORIENTED_EDGE('',*,*,#3406,.F.); -#1944=ORIENTED_EDGE('',*,*,#3463,.F.); -#1945=ORIENTED_EDGE('',*,*,#3333,.F.); -#1946=ORIENTED_EDGE('',*,*,#3460,.F.); -#1947=ORIENTED_EDGE('',*,*,#3404,.F.); -#1948=ORIENTED_EDGE('',*,*,#3464,.F.); -#1949=ORIENTED_EDGE('',*,*,#3337,.F.); -#1950=ORIENTED_EDGE('',*,*,#3324,.F.); -#1951=ORIENTED_EDGE('',*,*,#3409,.F.); -#1952=ORIENTED_EDGE('',*,*,#3471,.F.); -#1953=ORIENTED_EDGE('',*,*,#3401,.F.); -#1954=ORIENTED_EDGE('',*,*,#3468,.F.); -#1955=ORIENTED_EDGE('',*,*,#3407,.F.); -#1956=ORIENTED_EDGE('',*,*,#3322,.F.); -#1957=ORIENTED_EDGE('',*,*,#3341,.F.); -#1958=ORIENTED_EDGE('',*,*,#3347,.T.); -#1959=ORIENTED_EDGE('',*,*,#3472,.F.); -#1960=ORIENTED_EDGE('',*,*,#3473,.F.); -#1961=ORIENTED_EDGE('',*,*,#3474,.T.); -#1962=ORIENTED_EDGE('',*,*,#3346,.T.); -#1963=ORIENTED_EDGE('',*,*,#3475,.F.); -#1964=ORIENTED_EDGE('',*,*,#3476,.F.); -#1965=ORIENTED_EDGE('',*,*,#3472,.T.); -#1966=ORIENTED_EDGE('',*,*,#3345,.T.); -#1967=ORIENTED_EDGE('',*,*,#3477,.F.); -#1968=ORIENTED_EDGE('',*,*,#3478,.F.); -#1969=ORIENTED_EDGE('',*,*,#3475,.T.); -#1970=ORIENTED_EDGE('',*,*,#3344,.T.); -#1971=ORIENTED_EDGE('',*,*,#3329,.F.); -#1972=ORIENTED_EDGE('',*,*,#3479,.F.); -#1973=ORIENTED_EDGE('',*,*,#3477,.T.); -#1974=ORIENTED_EDGE('',*,*,#3350,.T.); -#1975=ORIENTED_EDGE('',*,*,#3480,.F.); -#1976=ORIENTED_EDGE('',*,*,#3481,.F.); -#1977=ORIENTED_EDGE('',*,*,#3327,.T.); -#1978=ORIENTED_EDGE('',*,*,#3349,.T.); -#1979=ORIENTED_EDGE('',*,*,#3482,.F.); -#1980=ORIENTED_EDGE('',*,*,#3483,.F.); -#1981=ORIENTED_EDGE('',*,*,#3480,.T.); -#1982=ORIENTED_EDGE('',*,*,#3348,.T.); -#1983=ORIENTED_EDGE('',*,*,#3474,.F.); -#1984=ORIENTED_EDGE('',*,*,#3484,.F.); -#1985=ORIENTED_EDGE('',*,*,#3482,.T.); -#1986=ORIENTED_EDGE('',*,*,#3473,.T.); -#1987=ORIENTED_EDGE('',*,*,#3476,.T.); -#1988=ORIENTED_EDGE('',*,*,#3478,.T.); -#1989=ORIENTED_EDGE('',*,*,#3479,.T.); -#1990=ORIENTED_EDGE('',*,*,#3328,.T.); -#1991=ORIENTED_EDGE('',*,*,#3481,.T.); -#1992=ORIENTED_EDGE('',*,*,#3483,.T.); -#1993=ORIENTED_EDGE('',*,*,#3484,.T.); -#1994=ORIENTED_EDGE('',*,*,#3386,.T.); -#1995=ORIENTED_EDGE('',*,*,#3485,.F.); -#1996=ORIENTED_EDGE('',*,*,#3486,.F.); -#1997=ORIENTED_EDGE('',*,*,#3487,.T.); -#1998=ORIENTED_EDGE('',*,*,#3385,.T.); -#1999=ORIENTED_EDGE('',*,*,#3488,.F.); -#2000=ORIENTED_EDGE('',*,*,#3489,.F.); -#2001=ORIENTED_EDGE('',*,*,#3485,.T.); -#2002=ORIENTED_EDGE('',*,*,#3384,.T.); -#2003=ORIENTED_EDGE('',*,*,#3490,.F.); -#2004=ORIENTED_EDGE('',*,*,#3491,.F.); -#2005=ORIENTED_EDGE('',*,*,#3488,.T.); -#2006=ORIENTED_EDGE('',*,*,#3383,.T.); -#2007=ORIENTED_EDGE('',*,*,#3319,.F.); -#2008=ORIENTED_EDGE('',*,*,#3492,.F.); -#2009=ORIENTED_EDGE('',*,*,#3490,.T.); -#2010=ORIENTED_EDGE('',*,*,#3343,.T.); -#2011=ORIENTED_EDGE('',*,*,#3493,.F.); -#2012=ORIENTED_EDGE('',*,*,#3494,.F.); -#2013=ORIENTED_EDGE('',*,*,#3331,.T.); -#2014=ORIENTED_EDGE('',*,*,#3388,.T.); -#2015=ORIENTED_EDGE('',*,*,#3495,.F.); -#2016=ORIENTED_EDGE('',*,*,#3496,.F.); -#2017=ORIENTED_EDGE('',*,*,#3493,.T.); -#2018=ORIENTED_EDGE('',*,*,#3387,.T.); -#2019=ORIENTED_EDGE('',*,*,#3487,.F.); -#2020=ORIENTED_EDGE('',*,*,#3497,.F.); -#2021=ORIENTED_EDGE('',*,*,#3495,.T.); -#2022=ORIENTED_EDGE('',*,*,#3486,.T.); -#2023=ORIENTED_EDGE('',*,*,#3489,.T.); -#2024=ORIENTED_EDGE('',*,*,#3491,.T.); -#2025=ORIENTED_EDGE('',*,*,#3492,.T.); -#2026=ORIENTED_EDGE('',*,*,#3318,.T.); -#2027=ORIENTED_EDGE('',*,*,#3494,.T.); -#2028=ORIENTED_EDGE('',*,*,#3496,.T.); -#2029=ORIENTED_EDGE('',*,*,#3497,.T.); -#2030=ORIENTED_EDGE('',*,*,#3371,.F.); -#2031=ORIENTED_EDGE('',*,*,#3498,.F.); -#2032=ORIENTED_EDGE('',*,*,#3499,.T.); -#2033=ORIENTED_EDGE('',*,*,#3500,.T.); -#2034=ORIENTED_EDGE('',*,*,#3372,.F.); -#2035=ORIENTED_EDGE('',*,*,#3500,.F.); -#2036=ORIENTED_EDGE('',*,*,#3501,.T.); -#2037=ORIENTED_EDGE('',*,*,#3502,.T.); -#2038=ORIENTED_EDGE('',*,*,#3373,.F.); -#2039=ORIENTED_EDGE('',*,*,#3502,.F.); -#2040=ORIENTED_EDGE('',*,*,#3503,.T.); -#2041=ORIENTED_EDGE('',*,*,#3504,.T.); -#2042=ORIENTED_EDGE('',*,*,#3374,.F.); -#2043=ORIENTED_EDGE('',*,*,#3504,.F.); -#2044=ORIENTED_EDGE('',*,*,#3505,.T.); -#2045=ORIENTED_EDGE('',*,*,#3439,.T.); -#2046=ORIENTED_EDGE('',*,*,#3368,.F.); -#2047=ORIENTED_EDGE('',*,*,#3441,.F.); -#2048=ORIENTED_EDGE('',*,*,#3506,.T.); -#2049=ORIENTED_EDGE('',*,*,#3507,.T.); -#2050=ORIENTED_EDGE('',*,*,#3369,.F.); -#2051=ORIENTED_EDGE('',*,*,#3507,.F.); -#2052=ORIENTED_EDGE('',*,*,#3508,.T.); -#2053=ORIENTED_EDGE('',*,*,#3509,.T.); -#2054=ORIENTED_EDGE('',*,*,#3370,.F.); -#2055=ORIENTED_EDGE('',*,*,#3509,.F.); -#2056=ORIENTED_EDGE('',*,*,#3510,.T.); -#2057=ORIENTED_EDGE('',*,*,#3498,.T.); -#2058=ORIENTED_EDGE('',*,*,#3499,.F.); -#2059=ORIENTED_EDGE('',*,*,#3510,.F.); -#2060=ORIENTED_EDGE('',*,*,#3508,.F.); -#2061=ORIENTED_EDGE('',*,*,#3506,.F.); -#2062=ORIENTED_EDGE('',*,*,#3440,.F.); -#2063=ORIENTED_EDGE('',*,*,#3505,.F.); -#2064=ORIENTED_EDGE('',*,*,#3503,.F.); -#2065=ORIENTED_EDGE('',*,*,#3501,.F.); -#2066=ORIENTED_EDGE('',*,*,#3361,.F.); -#2067=ORIENTED_EDGE('',*,*,#3511,.F.); -#2068=ORIENTED_EDGE('',*,*,#3512,.T.); -#2069=ORIENTED_EDGE('',*,*,#3513,.T.); -#2070=ORIENTED_EDGE('',*,*,#3362,.F.); -#2071=ORIENTED_EDGE('',*,*,#3513,.F.); -#2072=ORIENTED_EDGE('',*,*,#3514,.T.); -#2073=ORIENTED_EDGE('',*,*,#3515,.T.); -#2074=ORIENTED_EDGE('',*,*,#3363,.F.); -#2075=ORIENTED_EDGE('',*,*,#3515,.F.); -#2076=ORIENTED_EDGE('',*,*,#3516,.T.); -#2077=ORIENTED_EDGE('',*,*,#3517,.T.); -#2078=ORIENTED_EDGE('',*,*,#3364,.F.); -#2079=ORIENTED_EDGE('',*,*,#3517,.F.); -#2080=ORIENTED_EDGE('',*,*,#3518,.T.); -#2081=ORIENTED_EDGE('',*,*,#3519,.T.); -#2082=ORIENTED_EDGE('',*,*,#3365,.F.); -#2083=ORIENTED_EDGE('',*,*,#3519,.F.); -#2084=ORIENTED_EDGE('',*,*,#3520,.T.); -#2085=ORIENTED_EDGE('',*,*,#3521,.T.); -#2086=ORIENTED_EDGE('',*,*,#3366,.F.); -#2087=ORIENTED_EDGE('',*,*,#3521,.F.); -#2088=ORIENTED_EDGE('',*,*,#3522,.T.); -#2089=ORIENTED_EDGE('',*,*,#3434,.T.); -#2090=ORIENTED_EDGE('',*,*,#3360,.F.); -#2091=ORIENTED_EDGE('',*,*,#3436,.F.); -#2092=ORIENTED_EDGE('',*,*,#3523,.T.); -#2093=ORIENTED_EDGE('',*,*,#3511,.T.); -#2094=ORIENTED_EDGE('',*,*,#3512,.F.); -#2095=ORIENTED_EDGE('',*,*,#3523,.F.); -#2096=ORIENTED_EDGE('',*,*,#3435,.F.); -#2097=ORIENTED_EDGE('',*,*,#3522,.F.); -#2098=ORIENTED_EDGE('',*,*,#3520,.F.); -#2099=ORIENTED_EDGE('',*,*,#3518,.F.); -#2100=ORIENTED_EDGE('',*,*,#3516,.F.); -#2101=ORIENTED_EDGE('',*,*,#3514,.F.); -#2102=ORIENTED_EDGE('',*,*,#3309,.F.); -#2103=ORIENTED_EDGE('',*,*,#3524,.F.); -#2104=ORIENTED_EDGE('',*,*,#3525,.T.); -#2105=ORIENTED_EDGE('',*,*,#3526,.T.); -#2106=ORIENTED_EDGE('',*,*,#3527,.F.); -#2107=ORIENTED_EDGE('',*,*,#3528,.F.); -#2108=ORIENTED_EDGE('',*,*,#3529,.T.); -#2109=ORIENTED_EDGE('',*,*,#3310,.F.); -#2110=ORIENTED_EDGE('',*,*,#3526,.F.); -#2111=ORIENTED_EDGE('',*,*,#3530,.T.); -#2112=ORIENTED_EDGE('',*,*,#3531,.T.); -#2113=ORIENTED_EDGE('',*,*,#3314,.F.); -#2114=ORIENTED_EDGE('',*,*,#3315,.F.); -#2115=ORIENTED_EDGE('',*,*,#3531,.F.); -#2116=ORIENTED_EDGE('',*,*,#3532,.T.); -#2117=ORIENTED_EDGE('',*,*,#3533,.T.); -#2118=ORIENTED_EDGE('',*,*,#3534,.F.); -#2119=ORIENTED_EDGE('',*,*,#3535,.T.); -#2120=ORIENTED_EDGE('',*,*,#3536,.T.); -#2121=ORIENTED_EDGE('',*,*,#3316,.F.); -#2122=ORIENTED_EDGE('',*,*,#3533,.F.); -#2123=ORIENTED_EDGE('',*,*,#3537,.T.); -#2124=ORIENTED_EDGE('',*,*,#3524,.T.); -#2125=ORIENTED_EDGE('',*,*,#3308,.F.); -#2126=ORIENTED_EDGE('',*,*,#3525,.F.); -#2127=ORIENTED_EDGE('',*,*,#3537,.F.); -#2128=ORIENTED_EDGE('',*,*,#3532,.F.); -#2129=ORIENTED_EDGE('',*,*,#3530,.F.); -#2130=ORIENTED_EDGE('',*,*,#3538,.F.); -#2131=ORIENTED_EDGE('',*,*,#3539,.T.); -#2132=ORIENTED_EDGE('',*,*,#3311,.T.); -#2133=ORIENTED_EDGE('',*,*,#3529,.F.); -#2134=ORIENTED_EDGE('',*,*,#3313,.T.); -#2135=ORIENTED_EDGE('',*,*,#3540,.T.); -#2136=ORIENTED_EDGE('',*,*,#3541,.F.); -#2137=ORIENTED_EDGE('',*,*,#3527,.T.); -#2138=ORIENTED_EDGE('',*,*,#3541,.T.); -#2139=ORIENTED_EDGE('',*,*,#3542,.T.); -#2140=ORIENTED_EDGE('',*,*,#3538,.T.); -#2141=ORIENTED_EDGE('',*,*,#3528,.T.); -#2142=ORIENTED_EDGE('',*,*,#3307,.F.); -#2143=ORIENTED_EDGE('',*,*,#3543,.T.); -#2144=ORIENTED_EDGE('',*,*,#3544,.T.); -#2145=ORIENTED_EDGE('',*,*,#3534,.T.); -#2146=ORIENTED_EDGE('',*,*,#3545,.T.); -#2147=ORIENTED_EDGE('',*,*,#3546,.T.); -#2148=ORIENTED_EDGE('',*,*,#3317,.F.); -#2149=ORIENTED_EDGE('',*,*,#3536,.F.); -#2150=ORIENTED_EDGE('',*,*,#3544,.F.); -#2151=ORIENTED_EDGE('',*,*,#3547,.T.); -#2152=ORIENTED_EDGE('',*,*,#3545,.F.); -#2153=ORIENTED_EDGE('',*,*,#3535,.F.); -#2154=ORIENTED_EDGE('',*,*,#3546,.F.); -#2155=ORIENTED_EDGE('',*,*,#3547,.F.); -#2156=ORIENTED_EDGE('',*,*,#3543,.F.); -#2157=ORIENTED_EDGE('',*,*,#3306,.F.); -#2158=ORIENTED_EDGE('',*,*,#3540,.F.); -#2159=ORIENTED_EDGE('',*,*,#3312,.T.); -#2160=ORIENTED_EDGE('',*,*,#3539,.F.); -#2161=ORIENTED_EDGE('',*,*,#3542,.F.); -#2162=ORIENTED_EDGE('',*,*,#3548,.F.); -#2163=ORIENTED_EDGE('',*,*,#3549,.F.); -#2164=ORIENTED_EDGE('',*,*,#3550,.T.); -#2165=ORIENTED_EDGE('',*,*,#3551,.T.); -#2166=ORIENTED_EDGE('',*,*,#3552,.F.); -#2167=ORIENTED_EDGE('',*,*,#3551,.F.); -#2168=ORIENTED_EDGE('',*,*,#3553,.T.); -#2169=ORIENTED_EDGE('',*,*,#3554,.T.); -#2170=ORIENTED_EDGE('',*,*,#3555,.F.); -#2171=ORIENTED_EDGE('',*,*,#3554,.F.); -#2172=ORIENTED_EDGE('',*,*,#3556,.T.); -#2173=ORIENTED_EDGE('',*,*,#3557,.T.); -#2174=ORIENTED_EDGE('',*,*,#3558,.F.); -#2175=ORIENTED_EDGE('',*,*,#3559,.F.); -#2176=ORIENTED_EDGE('',*,*,#3560,.T.); -#2177=ORIENTED_EDGE('',*,*,#3561,.T.); -#2178=ORIENTED_EDGE('',*,*,#3562,.F.); -#2179=ORIENTED_EDGE('',*,*,#3561,.F.); -#2180=ORIENTED_EDGE('',*,*,#3563,.T.); -#2181=ORIENTED_EDGE('',*,*,#3564,.T.); -#2182=ORIENTED_EDGE('',*,*,#3565,.F.); -#2183=ORIENTED_EDGE('',*,*,#3564,.F.); -#2184=ORIENTED_EDGE('',*,*,#3566,.T.); -#2185=ORIENTED_EDGE('',*,*,#3559,.T.); -#2186=ORIENTED_EDGE('',*,*,#3567,.F.); -#2187=ORIENTED_EDGE('',*,*,#3557,.F.); -#2188=ORIENTED_EDGE('',*,*,#3568,.T.); -#2189=ORIENTED_EDGE('',*,*,#3549,.T.); -#2190=ORIENTED_EDGE('',*,*,#3560,.F.); -#2191=ORIENTED_EDGE('',*,*,#3566,.F.); -#2192=ORIENTED_EDGE('',*,*,#3563,.F.); -#2193=ORIENTED_EDGE('',*,*,#3550,.F.); -#2194=ORIENTED_EDGE('',*,*,#3568,.F.); -#2195=ORIENTED_EDGE('',*,*,#3556,.F.); -#2196=ORIENTED_EDGE('',*,*,#3553,.F.); -#2197=ORIENTED_EDGE('',*,*,#3558,.T.); -#2198=ORIENTED_EDGE('',*,*,#3562,.T.); -#2199=ORIENTED_EDGE('',*,*,#3565,.T.); -#2200=ORIENTED_EDGE('',*,*,#3548,.T.); -#2201=ORIENTED_EDGE('',*,*,#3552,.T.); -#2202=ORIENTED_EDGE('',*,*,#3555,.T.); -#2203=ORIENTED_EDGE('',*,*,#3567,.T.); -#2204=ORIENTED_EDGE('',*,*,#3569,.F.); -#2205=ORIENTED_EDGE('',*,*,#3570,.F.); -#2206=ORIENTED_EDGE('',*,*,#3571,.T.); -#2207=ORIENTED_EDGE('',*,*,#3572,.T.); -#2208=ORIENTED_EDGE('',*,*,#3573,.F.); -#2209=ORIENTED_EDGE('',*,*,#3572,.F.); -#2210=ORIENTED_EDGE('',*,*,#3574,.T.); -#2211=ORIENTED_EDGE('',*,*,#3575,.T.); -#2212=ORIENTED_EDGE('',*,*,#3576,.F.); -#2213=ORIENTED_EDGE('',*,*,#3575,.F.); -#2214=ORIENTED_EDGE('',*,*,#3577,.T.); -#2215=ORIENTED_EDGE('',*,*,#3578,.T.); -#2216=ORIENTED_EDGE('',*,*,#3579,.F.); -#2217=ORIENTED_EDGE('',*,*,#3578,.F.); -#2218=ORIENTED_EDGE('',*,*,#3580,.T.); -#2219=ORIENTED_EDGE('',*,*,#3570,.T.); -#2220=ORIENTED_EDGE('',*,*,#3571,.F.); -#2221=ORIENTED_EDGE('',*,*,#3580,.F.); -#2222=ORIENTED_EDGE('',*,*,#3577,.F.); -#2223=ORIENTED_EDGE('',*,*,#3574,.F.); -#2224=ORIENTED_EDGE('',*,*,#3569,.T.); -#2225=ORIENTED_EDGE('',*,*,#3573,.T.); -#2226=ORIENTED_EDGE('',*,*,#3576,.T.); -#2227=ORIENTED_EDGE('',*,*,#3579,.T.); -#2228=ORIENTED_EDGE('',*,*,#3581,.F.); -#2229=ORIENTED_EDGE('',*,*,#3582,.F.); -#2230=ORIENTED_EDGE('',*,*,#3583,.T.); -#2231=ORIENTED_EDGE('',*,*,#3584,.T.); -#2232=ORIENTED_EDGE('',*,*,#3585,.F.); -#2233=ORIENTED_EDGE('',*,*,#3584,.F.); -#2234=ORIENTED_EDGE('',*,*,#3586,.T.); -#2235=ORIENTED_EDGE('',*,*,#3587,.T.); -#2236=ORIENTED_EDGE('',*,*,#3588,.F.); -#2237=ORIENTED_EDGE('',*,*,#3587,.F.); -#2238=ORIENTED_EDGE('',*,*,#3589,.T.); -#2239=ORIENTED_EDGE('',*,*,#3590,.T.); -#2240=ORIENTED_EDGE('',*,*,#3591,.F.); -#2241=ORIENTED_EDGE('',*,*,#3592,.F.); -#2242=ORIENTED_EDGE('',*,*,#3593,.T.); -#2243=ORIENTED_EDGE('',*,*,#3594,.T.); -#2244=ORIENTED_EDGE('',*,*,#3595,.F.); -#2245=ORIENTED_EDGE('',*,*,#3594,.F.); -#2246=ORIENTED_EDGE('',*,*,#3596,.T.); -#2247=ORIENTED_EDGE('',*,*,#3597,.T.); -#2248=ORIENTED_EDGE('',*,*,#3598,.F.); -#2249=ORIENTED_EDGE('',*,*,#3597,.F.); -#2250=ORIENTED_EDGE('',*,*,#3599,.T.); -#2251=ORIENTED_EDGE('',*,*,#3592,.T.); -#2252=ORIENTED_EDGE('',*,*,#3600,.F.); -#2253=ORIENTED_EDGE('',*,*,#3590,.F.); -#2254=ORIENTED_EDGE('',*,*,#3601,.T.); -#2255=ORIENTED_EDGE('',*,*,#3582,.T.); -#2256=ORIENTED_EDGE('',*,*,#3593,.F.); -#2257=ORIENTED_EDGE('',*,*,#3599,.F.); -#2258=ORIENTED_EDGE('',*,*,#3596,.F.); -#2259=ORIENTED_EDGE('',*,*,#3583,.F.); -#2260=ORIENTED_EDGE('',*,*,#3601,.F.); -#2261=ORIENTED_EDGE('',*,*,#3589,.F.); -#2262=ORIENTED_EDGE('',*,*,#3586,.F.); -#2263=ORIENTED_EDGE('',*,*,#3591,.T.); -#2264=ORIENTED_EDGE('',*,*,#3595,.T.); -#2265=ORIENTED_EDGE('',*,*,#3598,.T.); -#2266=ORIENTED_EDGE('',*,*,#3581,.T.); -#2267=ORIENTED_EDGE('',*,*,#3585,.T.); -#2268=ORIENTED_EDGE('',*,*,#3588,.T.); -#2269=ORIENTED_EDGE('',*,*,#3600,.T.); -#2270=ORIENTED_EDGE('',*,*,#3602,.T.); -#2271=ORIENTED_EDGE('',*,*,#3603,.F.); -#2272=ORIENTED_EDGE('',*,*,#3604,.T.); -#2273=ORIENTED_EDGE('',*,*,#3605,.F.); -#2274=ORIENTED_EDGE('',*,*,#3602,.F.); -#2275=ORIENTED_EDGE('',*,*,#3604,.F.); -#2276=ORIENTED_EDGE('',*,*,#3603,.T.); -#2277=ORIENTED_EDGE('',*,*,#3605,.T.); -#2278=ORIENTED_EDGE('',*,*,#3606,.F.); -#2279=ORIENTED_EDGE('',*,*,#3607,.F.); -#2280=ORIENTED_EDGE('',*,*,#3608,.T.); -#2281=ORIENTED_EDGE('',*,*,#3609,.T.); -#2282=ORIENTED_EDGE('',*,*,#3610,.F.); -#2283=ORIENTED_EDGE('',*,*,#3609,.F.); -#2284=ORIENTED_EDGE('',*,*,#3611,.T.); -#2285=ORIENTED_EDGE('',*,*,#3612,.T.); -#2286=ORIENTED_EDGE('',*,*,#3613,.F.); -#2287=ORIENTED_EDGE('',*,*,#3612,.F.); -#2288=ORIENTED_EDGE('',*,*,#3614,.T.); -#2289=ORIENTED_EDGE('',*,*,#3615,.T.); -#2290=ORIENTED_EDGE('',*,*,#3616,.F.); -#2291=ORIENTED_EDGE('',*,*,#3617,.F.); -#2292=ORIENTED_EDGE('',*,*,#3618,.T.); -#2293=ORIENTED_EDGE('',*,*,#3619,.T.); -#2294=ORIENTED_EDGE('',*,*,#3620,.F.); -#2295=ORIENTED_EDGE('',*,*,#3619,.F.); -#2296=ORIENTED_EDGE('',*,*,#3621,.T.); -#2297=ORIENTED_EDGE('',*,*,#3622,.T.); -#2298=ORIENTED_EDGE('',*,*,#3623,.F.); -#2299=ORIENTED_EDGE('',*,*,#3622,.F.); -#2300=ORIENTED_EDGE('',*,*,#3624,.T.); -#2301=ORIENTED_EDGE('',*,*,#3617,.T.); -#2302=ORIENTED_EDGE('',*,*,#3625,.F.); -#2303=ORIENTED_EDGE('',*,*,#3615,.F.); -#2304=ORIENTED_EDGE('',*,*,#3626,.T.); -#2305=ORIENTED_EDGE('',*,*,#3607,.T.); -#2306=ORIENTED_EDGE('',*,*,#3618,.F.); -#2307=ORIENTED_EDGE('',*,*,#3624,.F.); -#2308=ORIENTED_EDGE('',*,*,#3621,.F.); -#2309=ORIENTED_EDGE('',*,*,#3608,.F.); -#2310=ORIENTED_EDGE('',*,*,#3626,.F.); -#2311=ORIENTED_EDGE('',*,*,#3614,.F.); -#2312=ORIENTED_EDGE('',*,*,#3611,.F.); -#2313=ORIENTED_EDGE('',*,*,#3616,.T.); -#2314=ORIENTED_EDGE('',*,*,#3620,.T.); -#2315=ORIENTED_EDGE('',*,*,#3623,.T.); -#2316=ORIENTED_EDGE('',*,*,#3606,.T.); -#2317=ORIENTED_EDGE('',*,*,#3610,.T.); -#2318=ORIENTED_EDGE('',*,*,#3613,.T.); -#2319=ORIENTED_EDGE('',*,*,#3625,.T.); -#2320=ORIENTED_EDGE('',*,*,#3627,.F.); -#2321=ORIENTED_EDGE('',*,*,#3628,.F.); -#2322=ORIENTED_EDGE('',*,*,#3629,.T.); -#2323=ORIENTED_EDGE('',*,*,#3630,.T.); -#2324=ORIENTED_EDGE('',*,*,#3631,.F.); -#2325=ORIENTED_EDGE('',*,*,#3630,.F.); -#2326=ORIENTED_EDGE('',*,*,#3632,.T.); -#2327=ORIENTED_EDGE('',*,*,#3633,.T.); -#2328=ORIENTED_EDGE('',*,*,#3634,.F.); -#2329=ORIENTED_EDGE('',*,*,#3633,.F.); -#2330=ORIENTED_EDGE('',*,*,#3635,.T.); -#2331=ORIENTED_EDGE('',*,*,#3636,.T.); -#2332=ORIENTED_EDGE('',*,*,#3637,.F.); -#2333=ORIENTED_EDGE('',*,*,#3638,.F.); -#2334=ORIENTED_EDGE('',*,*,#3639,.T.); -#2335=ORIENTED_EDGE('',*,*,#3640,.T.); -#2336=ORIENTED_EDGE('',*,*,#3641,.F.); -#2337=ORIENTED_EDGE('',*,*,#3640,.F.); -#2338=ORIENTED_EDGE('',*,*,#3642,.T.); -#2339=ORIENTED_EDGE('',*,*,#3638,.T.); -#2340=ORIENTED_EDGE('',*,*,#3643,.F.); -#2341=ORIENTED_EDGE('',*,*,#3636,.F.); -#2342=ORIENTED_EDGE('',*,*,#3644,.T.); -#2343=ORIENTED_EDGE('',*,*,#3628,.T.); -#2344=ORIENTED_EDGE('',*,*,#3639,.F.); -#2345=ORIENTED_EDGE('',*,*,#3642,.F.); -#2346=ORIENTED_EDGE('',*,*,#3629,.F.); -#2347=ORIENTED_EDGE('',*,*,#3644,.F.); -#2348=ORIENTED_EDGE('',*,*,#3635,.F.); -#2349=ORIENTED_EDGE('',*,*,#3632,.F.); -#2350=ORIENTED_EDGE('',*,*,#3637,.T.); -#2351=ORIENTED_EDGE('',*,*,#3641,.T.); -#2352=ORIENTED_EDGE('',*,*,#3627,.T.); -#2353=ORIENTED_EDGE('',*,*,#3631,.T.); -#2354=ORIENTED_EDGE('',*,*,#3634,.T.); -#2355=ORIENTED_EDGE('',*,*,#3643,.T.); -#2356=ORIENTED_EDGE('',*,*,#3645,.F.); -#2357=ORIENTED_EDGE('',*,*,#3646,.F.); -#2358=ORIENTED_EDGE('',*,*,#3647,.T.); -#2359=ORIENTED_EDGE('',*,*,#3648,.T.); -#2360=ORIENTED_EDGE('',*,*,#3649,.F.); -#2361=ORIENTED_EDGE('',*,*,#3648,.F.); -#2362=ORIENTED_EDGE('',*,*,#3650,.T.); -#2363=ORIENTED_EDGE('',*,*,#3651,.T.); -#2364=ORIENTED_EDGE('',*,*,#3652,.F.); -#2365=ORIENTED_EDGE('',*,*,#3651,.F.); -#2366=ORIENTED_EDGE('',*,*,#3653,.T.); -#2367=ORIENTED_EDGE('',*,*,#3654,.T.); -#2368=ORIENTED_EDGE('',*,*,#3655,.F.); -#2369=ORIENTED_EDGE('',*,*,#3654,.F.); -#2370=ORIENTED_EDGE('',*,*,#3656,.T.); -#2371=ORIENTED_EDGE('',*,*,#3646,.T.); -#2372=ORIENTED_EDGE('',*,*,#3647,.F.); -#2373=ORIENTED_EDGE('',*,*,#3656,.F.); -#2374=ORIENTED_EDGE('',*,*,#3653,.F.); -#2375=ORIENTED_EDGE('',*,*,#3650,.F.); -#2376=ORIENTED_EDGE('',*,*,#3645,.T.); -#2377=ORIENTED_EDGE('',*,*,#3649,.T.); -#2378=ORIENTED_EDGE('',*,*,#3652,.T.); -#2379=ORIENTED_EDGE('',*,*,#3655,.T.); -#2380=ORIENTED_EDGE('',*,*,#3657,.F.); -#2381=ORIENTED_EDGE('',*,*,#3658,.F.); -#2382=ORIENTED_EDGE('',*,*,#3659,.T.); -#2383=ORIENTED_EDGE('',*,*,#3660,.T.); -#2384=ORIENTED_EDGE('',*,*,#3661,.F.); -#2385=ORIENTED_EDGE('',*,*,#3660,.F.); -#2386=ORIENTED_EDGE('',*,*,#3662,.T.); -#2387=ORIENTED_EDGE('',*,*,#3663,.T.); -#2388=ORIENTED_EDGE('',*,*,#3664,.F.); -#2389=ORIENTED_EDGE('',*,*,#3663,.F.); -#2390=ORIENTED_EDGE('',*,*,#3665,.T.); -#2391=ORIENTED_EDGE('',*,*,#3666,.T.); -#2392=ORIENTED_EDGE('',*,*,#3667,.F.); -#2393=ORIENTED_EDGE('',*,*,#3666,.F.); -#2394=ORIENTED_EDGE('',*,*,#3668,.T.); -#2395=ORIENTED_EDGE('',*,*,#3658,.T.); -#2396=ORIENTED_EDGE('',*,*,#3659,.F.); -#2397=ORIENTED_EDGE('',*,*,#3668,.F.); -#2398=ORIENTED_EDGE('',*,*,#3665,.F.); -#2399=ORIENTED_EDGE('',*,*,#3662,.F.); -#2400=ORIENTED_EDGE('',*,*,#3657,.T.); -#2401=ORIENTED_EDGE('',*,*,#3661,.T.); -#2402=ORIENTED_EDGE('',*,*,#3664,.T.); -#2403=ORIENTED_EDGE('',*,*,#3667,.T.); -#2404=ORIENTED_EDGE('',*,*,#3669,.F.); -#2405=ORIENTED_EDGE('',*,*,#3670,.F.); -#2406=ORIENTED_EDGE('',*,*,#3671,.T.); -#2407=ORIENTED_EDGE('',*,*,#3672,.T.); -#2408=ORIENTED_EDGE('',*,*,#3673,.F.); -#2409=ORIENTED_EDGE('',*,*,#3672,.F.); -#2410=ORIENTED_EDGE('',*,*,#3674,.T.); -#2411=ORIENTED_EDGE('',*,*,#3675,.T.); -#2412=ORIENTED_EDGE('',*,*,#3676,.F.); -#2413=ORIENTED_EDGE('',*,*,#3675,.F.); -#2414=ORIENTED_EDGE('',*,*,#3677,.T.); -#2415=ORIENTED_EDGE('',*,*,#3678,.T.); -#2416=ORIENTED_EDGE('',*,*,#3679,.F.); -#2417=ORIENTED_EDGE('',*,*,#3678,.F.); -#2418=ORIENTED_EDGE('',*,*,#3680,.T.); -#2419=ORIENTED_EDGE('',*,*,#3681,.T.); -#2420=ORIENTED_EDGE('',*,*,#3682,.F.); -#2421=ORIENTED_EDGE('',*,*,#3681,.F.); -#2422=ORIENTED_EDGE('',*,*,#3683,.T.); -#2423=ORIENTED_EDGE('',*,*,#3684,.T.); -#2424=ORIENTED_EDGE('',*,*,#3685,.F.); -#2425=ORIENTED_EDGE('',*,*,#3684,.F.); -#2426=ORIENTED_EDGE('',*,*,#3686,.T.); -#2427=ORIENTED_EDGE('',*,*,#3687,.T.); -#2428=ORIENTED_EDGE('',*,*,#3688,.F.); -#2429=ORIENTED_EDGE('',*,*,#3687,.F.); -#2430=ORIENTED_EDGE('',*,*,#3689,.T.); -#2431=ORIENTED_EDGE('',*,*,#3690,.T.); -#2432=ORIENTED_EDGE('',*,*,#3691,.F.); -#2433=ORIENTED_EDGE('',*,*,#3690,.F.); -#2434=ORIENTED_EDGE('',*,*,#3692,.T.); -#2435=ORIENTED_EDGE('',*,*,#3670,.T.); -#2436=ORIENTED_EDGE('',*,*,#3671,.F.); -#2437=ORIENTED_EDGE('',*,*,#3692,.F.); -#2438=ORIENTED_EDGE('',*,*,#3689,.F.); -#2439=ORIENTED_EDGE('',*,*,#3686,.F.); -#2440=ORIENTED_EDGE('',*,*,#3683,.F.); -#2441=ORIENTED_EDGE('',*,*,#3680,.F.); -#2442=ORIENTED_EDGE('',*,*,#3677,.F.); -#2443=ORIENTED_EDGE('',*,*,#3674,.F.); -#2444=ORIENTED_EDGE('',*,*,#3669,.T.); -#2445=ORIENTED_EDGE('',*,*,#3673,.T.); -#2446=ORIENTED_EDGE('',*,*,#3676,.T.); -#2447=ORIENTED_EDGE('',*,*,#3679,.T.); -#2448=ORIENTED_EDGE('',*,*,#3682,.T.); -#2449=ORIENTED_EDGE('',*,*,#3685,.T.); -#2450=ORIENTED_EDGE('',*,*,#3688,.T.); -#2451=ORIENTED_EDGE('',*,*,#3691,.T.); -#2452=ORIENTED_EDGE('',*,*,#3693,.T.); -#2453=ORIENTED_EDGE('',*,*,#3694,.F.); -#2454=ORIENTED_EDGE('',*,*,#3695,.T.); -#2455=ORIENTED_EDGE('',*,*,#3696,.F.); -#2456=ORIENTED_EDGE('',*,*,#3693,.F.); -#2457=ORIENTED_EDGE('',*,*,#3695,.F.); -#2458=ORIENTED_EDGE('',*,*,#3694,.T.); -#2459=ORIENTED_EDGE('',*,*,#3696,.T.); -#2460=ORIENTED_EDGE('',*,*,#3697,.F.); -#2461=ORIENTED_EDGE('',*,*,#3698,.F.); -#2462=ORIENTED_EDGE('',*,*,#3699,.T.); -#2463=ORIENTED_EDGE('',*,*,#3700,.T.); -#2464=ORIENTED_EDGE('',*,*,#3701,.F.); -#2465=ORIENTED_EDGE('',*,*,#3700,.F.); -#2466=ORIENTED_EDGE('',*,*,#3702,.T.); -#2467=ORIENTED_EDGE('',*,*,#3703,.T.); -#2468=ORIENTED_EDGE('',*,*,#3704,.F.); -#2469=ORIENTED_EDGE('',*,*,#3703,.F.); -#2470=ORIENTED_EDGE('',*,*,#3705,.T.); -#2471=ORIENTED_EDGE('',*,*,#3706,.T.); -#2472=ORIENTED_EDGE('',*,*,#3707,.F.); -#2473=ORIENTED_EDGE('',*,*,#3706,.F.); -#2474=ORIENTED_EDGE('',*,*,#3708,.T.); -#2475=ORIENTED_EDGE('',*,*,#3709,.T.); -#2476=ORIENTED_EDGE('',*,*,#3710,.F.); -#2477=ORIENTED_EDGE('',*,*,#3709,.F.); -#2478=ORIENTED_EDGE('',*,*,#3711,.T.); -#2479=ORIENTED_EDGE('',*,*,#3698,.T.); -#2480=ORIENTED_EDGE('',*,*,#3699,.F.); -#2481=ORIENTED_EDGE('',*,*,#3711,.F.); -#2482=ORIENTED_EDGE('',*,*,#3708,.F.); -#2483=ORIENTED_EDGE('',*,*,#3705,.F.); -#2484=ORIENTED_EDGE('',*,*,#3702,.F.); -#2485=ORIENTED_EDGE('',*,*,#3697,.T.); -#2486=ORIENTED_EDGE('',*,*,#3701,.T.); -#2487=ORIENTED_EDGE('',*,*,#3704,.T.); -#2488=ORIENTED_EDGE('',*,*,#3707,.T.); -#2489=ORIENTED_EDGE('',*,*,#3710,.T.); -#2490=ORIENTED_EDGE('',*,*,#3712,.F.); -#2491=ORIENTED_EDGE('',*,*,#3713,.F.); -#2492=ORIENTED_EDGE('',*,*,#3714,.T.); -#2493=ORIENTED_EDGE('',*,*,#3715,.T.); -#2494=ORIENTED_EDGE('',*,*,#3716,.F.); -#2495=ORIENTED_EDGE('',*,*,#3715,.F.); -#2496=ORIENTED_EDGE('',*,*,#3717,.T.); -#2497=ORIENTED_EDGE('',*,*,#3718,.T.); -#2498=ORIENTED_EDGE('',*,*,#3719,.F.); -#2499=ORIENTED_EDGE('',*,*,#3718,.F.); -#2500=ORIENTED_EDGE('',*,*,#3720,.T.); -#2501=ORIENTED_EDGE('',*,*,#3721,.T.); -#2502=ORIENTED_EDGE('',*,*,#3722,.F.); -#2503=ORIENTED_EDGE('',*,*,#3721,.F.); -#2504=ORIENTED_EDGE('',*,*,#3723,.T.); -#2505=ORIENTED_EDGE('',*,*,#3724,.T.); -#2506=ORIENTED_EDGE('',*,*,#3725,.F.); -#2507=ORIENTED_EDGE('',*,*,#3724,.F.); -#2508=ORIENTED_EDGE('',*,*,#3726,.T.); -#2509=ORIENTED_EDGE('',*,*,#3727,.T.); -#2510=ORIENTED_EDGE('',*,*,#3728,.F.); -#2511=ORIENTED_EDGE('',*,*,#3727,.F.); -#2512=ORIENTED_EDGE('',*,*,#3729,.T.); -#2513=ORIENTED_EDGE('',*,*,#3713,.T.); -#2514=ORIENTED_EDGE('',*,*,#3714,.F.); -#2515=ORIENTED_EDGE('',*,*,#3729,.F.); -#2516=ORIENTED_EDGE('',*,*,#3726,.F.); -#2517=ORIENTED_EDGE('',*,*,#3723,.F.); -#2518=ORIENTED_EDGE('',*,*,#3720,.F.); -#2519=ORIENTED_EDGE('',*,*,#3717,.F.); -#2520=ORIENTED_EDGE('',*,*,#3712,.T.); -#2521=ORIENTED_EDGE('',*,*,#3716,.T.); -#2522=ORIENTED_EDGE('',*,*,#3719,.T.); -#2523=ORIENTED_EDGE('',*,*,#3722,.T.); -#2524=ORIENTED_EDGE('',*,*,#3725,.T.); -#2525=ORIENTED_EDGE('',*,*,#3728,.T.); -#2526=ORIENTED_EDGE('',*,*,#3730,.F.); -#2527=ORIENTED_EDGE('',*,*,#3731,.F.); -#2528=ORIENTED_EDGE('',*,*,#3732,.T.); -#2529=ORIENTED_EDGE('',*,*,#3733,.T.); -#2530=ORIENTED_EDGE('',*,*,#3734,.F.); -#2531=ORIENTED_EDGE('',*,*,#3733,.F.); -#2532=ORIENTED_EDGE('',*,*,#3735,.T.); -#2533=ORIENTED_EDGE('',*,*,#3736,.T.); -#2534=ORIENTED_EDGE('',*,*,#3737,.F.); -#2535=ORIENTED_EDGE('',*,*,#3736,.F.); -#2536=ORIENTED_EDGE('',*,*,#3738,.T.); -#2537=ORIENTED_EDGE('',*,*,#3739,.T.); -#2538=ORIENTED_EDGE('',*,*,#3740,.F.); -#2539=ORIENTED_EDGE('',*,*,#3739,.F.); -#2540=ORIENTED_EDGE('',*,*,#3741,.T.); -#2541=ORIENTED_EDGE('',*,*,#3742,.T.); -#2542=ORIENTED_EDGE('',*,*,#3743,.F.); -#2543=ORIENTED_EDGE('',*,*,#3742,.F.); -#2544=ORIENTED_EDGE('',*,*,#3744,.T.); -#2545=ORIENTED_EDGE('',*,*,#3745,.T.); -#2546=ORIENTED_EDGE('',*,*,#3746,.F.); -#2547=ORIENTED_EDGE('',*,*,#3745,.F.); -#2548=ORIENTED_EDGE('',*,*,#3747,.T.); -#2549=ORIENTED_EDGE('',*,*,#3748,.T.); -#2550=ORIENTED_EDGE('',*,*,#3749,.F.); -#2551=ORIENTED_EDGE('',*,*,#3748,.F.); -#2552=ORIENTED_EDGE('',*,*,#3750,.T.); -#2553=ORIENTED_EDGE('',*,*,#3751,.T.); -#2554=ORIENTED_EDGE('',*,*,#3752,.F.); -#2555=ORIENTED_EDGE('',*,*,#3751,.F.); -#2556=ORIENTED_EDGE('',*,*,#3753,.T.); -#2557=ORIENTED_EDGE('',*,*,#3754,.T.); -#2558=ORIENTED_EDGE('',*,*,#3755,.F.); -#2559=ORIENTED_EDGE('',*,*,#3754,.F.); -#2560=ORIENTED_EDGE('',*,*,#3756,.T.); -#2561=ORIENTED_EDGE('',*,*,#3757,.T.); -#2562=ORIENTED_EDGE('',*,*,#3758,.F.); -#2563=ORIENTED_EDGE('',*,*,#3757,.F.); -#2564=ORIENTED_EDGE('',*,*,#3759,.T.); -#2565=ORIENTED_EDGE('',*,*,#3760,.T.); -#2566=ORIENTED_EDGE('',*,*,#3761,.F.); -#2567=ORIENTED_EDGE('',*,*,#3760,.F.); -#2568=ORIENTED_EDGE('',*,*,#3762,.T.); -#2569=ORIENTED_EDGE('',*,*,#3763,.T.); -#2570=ORIENTED_EDGE('',*,*,#3764,.F.); -#2571=ORIENTED_EDGE('',*,*,#3763,.F.); -#2572=ORIENTED_EDGE('',*,*,#3765,.T.); -#2573=ORIENTED_EDGE('',*,*,#3766,.T.); -#2574=ORIENTED_EDGE('',*,*,#3767,.F.); -#2575=ORIENTED_EDGE('',*,*,#3766,.F.); -#2576=ORIENTED_EDGE('',*,*,#3768,.T.); -#2577=ORIENTED_EDGE('',*,*,#3731,.T.); -#2578=ORIENTED_EDGE('',*,*,#3732,.F.); -#2579=ORIENTED_EDGE('',*,*,#3768,.F.); -#2580=ORIENTED_EDGE('',*,*,#3765,.F.); -#2581=ORIENTED_EDGE('',*,*,#3762,.F.); -#2582=ORIENTED_EDGE('',*,*,#3759,.F.); -#2583=ORIENTED_EDGE('',*,*,#3756,.F.); -#2584=ORIENTED_EDGE('',*,*,#3753,.F.); -#2585=ORIENTED_EDGE('',*,*,#3750,.F.); -#2586=ORIENTED_EDGE('',*,*,#3747,.F.); -#2587=ORIENTED_EDGE('',*,*,#3744,.F.); -#2588=ORIENTED_EDGE('',*,*,#3741,.F.); -#2589=ORIENTED_EDGE('',*,*,#3738,.F.); -#2590=ORIENTED_EDGE('',*,*,#3735,.F.); -#2591=ORIENTED_EDGE('',*,*,#3730,.T.); -#2592=ORIENTED_EDGE('',*,*,#3734,.T.); -#2593=ORIENTED_EDGE('',*,*,#3737,.T.); -#2594=ORIENTED_EDGE('',*,*,#3740,.T.); -#2595=ORIENTED_EDGE('',*,*,#3743,.T.); -#2596=ORIENTED_EDGE('',*,*,#3746,.T.); -#2597=ORIENTED_EDGE('',*,*,#3749,.T.); -#2598=ORIENTED_EDGE('',*,*,#3752,.T.); -#2599=ORIENTED_EDGE('',*,*,#3755,.T.); -#2600=ORIENTED_EDGE('',*,*,#3758,.T.); -#2601=ORIENTED_EDGE('',*,*,#3761,.T.); -#2602=ORIENTED_EDGE('',*,*,#3764,.T.); -#2603=ORIENTED_EDGE('',*,*,#3767,.T.); -#2604=ORIENTED_EDGE('',*,*,#3769,.F.); -#2605=ORIENTED_EDGE('',*,*,#3770,.F.); -#2606=ORIENTED_EDGE('',*,*,#3771,.T.); -#2607=ORIENTED_EDGE('',*,*,#3772,.T.); -#2608=ORIENTED_EDGE('',*,*,#3773,.F.); -#2609=ORIENTED_EDGE('',*,*,#3772,.F.); -#2610=ORIENTED_EDGE('',*,*,#3774,.T.); -#2611=ORIENTED_EDGE('',*,*,#3775,.T.); -#2612=ORIENTED_EDGE('',*,*,#3776,.F.); -#2613=ORIENTED_EDGE('',*,*,#3775,.F.); -#2614=ORIENTED_EDGE('',*,*,#3777,.T.); -#2615=ORIENTED_EDGE('',*,*,#3778,.T.); -#2616=ORIENTED_EDGE('',*,*,#3779,.F.); -#2617=ORIENTED_EDGE('',*,*,#3778,.F.); -#2618=ORIENTED_EDGE('',*,*,#3780,.T.); -#2619=ORIENTED_EDGE('',*,*,#3781,.T.); -#2620=ORIENTED_EDGE('',*,*,#3782,.F.); -#2621=ORIENTED_EDGE('',*,*,#3781,.F.); -#2622=ORIENTED_EDGE('',*,*,#3783,.T.); -#2623=ORIENTED_EDGE('',*,*,#3784,.T.); -#2624=ORIENTED_EDGE('',*,*,#3785,.F.); -#2625=ORIENTED_EDGE('',*,*,#3784,.F.); -#2626=ORIENTED_EDGE('',*,*,#3786,.T.); -#2627=ORIENTED_EDGE('',*,*,#3770,.T.); -#2628=ORIENTED_EDGE('',*,*,#3771,.F.); -#2629=ORIENTED_EDGE('',*,*,#3786,.F.); -#2630=ORIENTED_EDGE('',*,*,#3783,.F.); -#2631=ORIENTED_EDGE('',*,*,#3780,.F.); -#2632=ORIENTED_EDGE('',*,*,#3777,.F.); -#2633=ORIENTED_EDGE('',*,*,#3774,.F.); -#2634=ORIENTED_EDGE('',*,*,#3769,.T.); -#2635=ORIENTED_EDGE('',*,*,#3773,.T.); -#2636=ORIENTED_EDGE('',*,*,#3776,.T.); -#2637=ORIENTED_EDGE('',*,*,#3779,.T.); -#2638=ORIENTED_EDGE('',*,*,#3782,.T.); -#2639=ORIENTED_EDGE('',*,*,#3785,.T.); -#2640=ORIENTED_EDGE('',*,*,#3787,.F.); -#2641=ORIENTED_EDGE('',*,*,#3788,.F.); -#2642=ORIENTED_EDGE('',*,*,#3789,.T.); -#2643=ORIENTED_EDGE('',*,*,#3790,.T.); -#2644=ORIENTED_EDGE('',*,*,#3791,.F.); -#2645=ORIENTED_EDGE('',*,*,#3790,.F.); -#2646=ORIENTED_EDGE('',*,*,#3792,.T.); -#2647=ORIENTED_EDGE('',*,*,#3793,.T.); -#2648=ORIENTED_EDGE('',*,*,#3794,.F.); -#2649=ORIENTED_EDGE('',*,*,#3793,.F.); -#2650=ORIENTED_EDGE('',*,*,#3795,.T.); -#2651=ORIENTED_EDGE('',*,*,#3796,.T.); -#2652=ORIENTED_EDGE('',*,*,#3797,.F.); -#2653=ORIENTED_EDGE('',*,*,#3796,.F.); -#2654=ORIENTED_EDGE('',*,*,#3798,.T.); -#2655=ORIENTED_EDGE('',*,*,#3799,.T.); -#2656=ORIENTED_EDGE('',*,*,#3800,.F.); -#2657=ORIENTED_EDGE('',*,*,#3799,.F.); -#2658=ORIENTED_EDGE('',*,*,#3801,.T.); -#2659=ORIENTED_EDGE('',*,*,#3802,.T.); -#2660=ORIENTED_EDGE('',*,*,#3803,.F.); -#2661=ORIENTED_EDGE('',*,*,#3802,.F.); -#2662=ORIENTED_EDGE('',*,*,#3804,.T.); -#2663=ORIENTED_EDGE('',*,*,#3805,.T.); -#2664=ORIENTED_EDGE('',*,*,#3806,.F.); -#2665=ORIENTED_EDGE('',*,*,#3805,.F.); -#2666=ORIENTED_EDGE('',*,*,#3807,.T.); -#2667=ORIENTED_EDGE('',*,*,#3808,.T.); -#2668=ORIENTED_EDGE('',*,*,#3809,.F.); -#2669=ORIENTED_EDGE('',*,*,#3808,.F.); -#2670=ORIENTED_EDGE('',*,*,#3810,.T.); -#2671=ORIENTED_EDGE('',*,*,#3788,.T.); -#2672=ORIENTED_EDGE('',*,*,#3789,.F.); -#2673=ORIENTED_EDGE('',*,*,#3810,.F.); -#2674=ORIENTED_EDGE('',*,*,#3807,.F.); -#2675=ORIENTED_EDGE('',*,*,#3804,.F.); -#2676=ORIENTED_EDGE('',*,*,#3801,.F.); -#2677=ORIENTED_EDGE('',*,*,#3798,.F.); -#2678=ORIENTED_EDGE('',*,*,#3795,.F.); -#2679=ORIENTED_EDGE('',*,*,#3792,.F.); -#2680=ORIENTED_EDGE('',*,*,#3787,.T.); -#2681=ORIENTED_EDGE('',*,*,#3791,.T.); -#2682=ORIENTED_EDGE('',*,*,#3794,.T.); -#2683=ORIENTED_EDGE('',*,*,#3797,.T.); -#2684=ORIENTED_EDGE('',*,*,#3800,.T.); -#2685=ORIENTED_EDGE('',*,*,#3803,.T.); -#2686=ORIENTED_EDGE('',*,*,#3806,.T.); -#2687=ORIENTED_EDGE('',*,*,#3809,.T.); -#2688=ORIENTED_EDGE('',*,*,#3811,.F.); -#2689=ORIENTED_EDGE('',*,*,#3812,.F.); -#2690=ORIENTED_EDGE('',*,*,#3813,.T.); -#2691=ORIENTED_EDGE('',*,*,#3814,.T.); -#2692=ORIENTED_EDGE('',*,*,#3815,.F.); -#2693=ORIENTED_EDGE('',*,*,#3814,.F.); -#2694=ORIENTED_EDGE('',*,*,#3816,.T.); -#2695=ORIENTED_EDGE('',*,*,#3817,.T.); -#2696=ORIENTED_EDGE('',*,*,#3818,.F.); -#2697=ORIENTED_EDGE('',*,*,#3817,.F.); -#2698=ORIENTED_EDGE('',*,*,#3819,.T.); -#2699=ORIENTED_EDGE('',*,*,#3820,.T.); -#2700=ORIENTED_EDGE('',*,*,#3821,.F.); -#2701=ORIENTED_EDGE('',*,*,#3820,.F.); -#2702=ORIENTED_EDGE('',*,*,#3822,.T.); -#2703=ORIENTED_EDGE('',*,*,#3812,.T.); -#2704=ORIENTED_EDGE('',*,*,#3813,.F.); -#2705=ORIENTED_EDGE('',*,*,#3822,.F.); -#2706=ORIENTED_EDGE('',*,*,#3819,.F.); -#2707=ORIENTED_EDGE('',*,*,#3816,.F.); -#2708=ORIENTED_EDGE('',*,*,#3811,.T.); -#2709=ORIENTED_EDGE('',*,*,#3815,.T.); -#2710=ORIENTED_EDGE('',*,*,#3818,.T.); -#2711=ORIENTED_EDGE('',*,*,#3821,.T.); -#2712=ORIENTED_EDGE('',*,*,#3823,.F.); -#2713=ORIENTED_EDGE('',*,*,#3824,.F.); -#2714=ORIENTED_EDGE('',*,*,#3825,.T.); -#2715=ORIENTED_EDGE('',*,*,#3826,.T.); -#2716=ORIENTED_EDGE('',*,*,#3827,.F.); -#2717=ORIENTED_EDGE('',*,*,#3826,.F.); -#2718=ORIENTED_EDGE('',*,*,#3828,.T.); -#2719=ORIENTED_EDGE('',*,*,#3829,.T.); -#2720=ORIENTED_EDGE('',*,*,#3830,.F.); -#2721=ORIENTED_EDGE('',*,*,#3829,.F.); -#2722=ORIENTED_EDGE('',*,*,#3831,.T.); -#2723=ORIENTED_EDGE('',*,*,#3832,.T.); -#2724=ORIENTED_EDGE('',*,*,#3833,.F.); -#2725=ORIENTED_EDGE('',*,*,#3832,.F.); -#2726=ORIENTED_EDGE('',*,*,#3834,.T.); -#2727=ORIENTED_EDGE('',*,*,#3835,.T.); -#2728=ORIENTED_EDGE('',*,*,#3836,.F.); -#2729=ORIENTED_EDGE('',*,*,#3835,.F.); -#2730=ORIENTED_EDGE('',*,*,#3837,.T.); -#2731=ORIENTED_EDGE('',*,*,#3838,.T.); -#2732=ORIENTED_EDGE('',*,*,#3839,.F.); -#2733=ORIENTED_EDGE('',*,*,#3838,.F.); -#2734=ORIENTED_EDGE('',*,*,#3840,.T.); -#2735=ORIENTED_EDGE('',*,*,#3824,.T.); -#2736=ORIENTED_EDGE('',*,*,#3825,.F.); -#2737=ORIENTED_EDGE('',*,*,#3840,.F.); -#2738=ORIENTED_EDGE('',*,*,#3837,.F.); -#2739=ORIENTED_EDGE('',*,*,#3834,.F.); -#2740=ORIENTED_EDGE('',*,*,#3831,.F.); -#2741=ORIENTED_EDGE('',*,*,#3828,.F.); -#2742=ORIENTED_EDGE('',*,*,#3823,.T.); -#2743=ORIENTED_EDGE('',*,*,#3827,.T.); -#2744=ORIENTED_EDGE('',*,*,#3830,.T.); -#2745=ORIENTED_EDGE('',*,*,#3833,.T.); -#2746=ORIENTED_EDGE('',*,*,#3836,.T.); -#2747=ORIENTED_EDGE('',*,*,#3839,.T.); -#2748=ORIENTED_EDGE('',*,*,#3841,.F.); -#2749=ORIENTED_EDGE('',*,*,#3842,.F.); -#2750=ORIENTED_EDGE('',*,*,#3843,.T.); -#2751=ORIENTED_EDGE('',*,*,#3844,.T.); -#2752=ORIENTED_EDGE('',*,*,#3845,.F.); -#2753=ORIENTED_EDGE('',*,*,#3844,.F.); -#2754=ORIENTED_EDGE('',*,*,#3846,.T.); -#2755=ORIENTED_EDGE('',*,*,#3847,.T.); -#2756=ORIENTED_EDGE('',*,*,#3848,.F.); -#2757=ORIENTED_EDGE('',*,*,#3847,.F.); -#2758=ORIENTED_EDGE('',*,*,#3849,.T.); -#2759=ORIENTED_EDGE('',*,*,#3850,.T.); -#2760=ORIENTED_EDGE('',*,*,#3851,.F.); -#2761=ORIENTED_EDGE('',*,*,#3850,.F.); -#2762=ORIENTED_EDGE('',*,*,#3852,.T.); -#2763=ORIENTED_EDGE('',*,*,#3853,.T.); -#2764=ORIENTED_EDGE('',*,*,#3854,.F.); -#2765=ORIENTED_EDGE('',*,*,#3853,.F.); -#2766=ORIENTED_EDGE('',*,*,#3855,.T.); -#2767=ORIENTED_EDGE('',*,*,#3856,.T.); -#2768=ORIENTED_EDGE('',*,*,#3857,.F.); -#2769=ORIENTED_EDGE('',*,*,#3856,.F.); -#2770=ORIENTED_EDGE('',*,*,#3858,.T.); -#2771=ORIENTED_EDGE('',*,*,#3859,.T.); -#2772=ORIENTED_EDGE('',*,*,#3860,.F.); -#2773=ORIENTED_EDGE('',*,*,#3859,.F.); -#2774=ORIENTED_EDGE('',*,*,#3861,.T.); -#2775=ORIENTED_EDGE('',*,*,#3862,.T.); -#2776=ORIENTED_EDGE('',*,*,#3863,.F.); -#2777=ORIENTED_EDGE('',*,*,#3862,.F.); -#2778=ORIENTED_EDGE('',*,*,#3864,.T.); -#2779=ORIENTED_EDGE('',*,*,#3865,.T.); -#2780=ORIENTED_EDGE('',*,*,#3866,.F.); -#2781=ORIENTED_EDGE('',*,*,#3865,.F.); -#2782=ORIENTED_EDGE('',*,*,#3867,.T.); -#2783=ORIENTED_EDGE('',*,*,#3868,.T.); -#2784=ORIENTED_EDGE('',*,*,#3869,.F.); -#2785=ORIENTED_EDGE('',*,*,#3868,.F.); -#2786=ORIENTED_EDGE('',*,*,#3870,.T.); -#2787=ORIENTED_EDGE('',*,*,#3871,.T.); -#2788=ORIENTED_EDGE('',*,*,#3872,.F.); -#2789=ORIENTED_EDGE('',*,*,#3871,.F.); -#2790=ORIENTED_EDGE('',*,*,#3873,.T.); -#2791=ORIENTED_EDGE('',*,*,#3874,.T.); -#2792=ORIENTED_EDGE('',*,*,#3875,.F.); -#2793=ORIENTED_EDGE('',*,*,#3874,.F.); -#2794=ORIENTED_EDGE('',*,*,#3876,.T.); -#2795=ORIENTED_EDGE('',*,*,#3877,.T.); -#2796=ORIENTED_EDGE('',*,*,#3878,.F.); -#2797=ORIENTED_EDGE('',*,*,#3877,.F.); -#2798=ORIENTED_EDGE('',*,*,#3879,.T.); -#2799=ORIENTED_EDGE('',*,*,#3842,.T.); -#2800=ORIENTED_EDGE('',*,*,#3843,.F.); -#2801=ORIENTED_EDGE('',*,*,#3879,.F.); -#2802=ORIENTED_EDGE('',*,*,#3876,.F.); -#2803=ORIENTED_EDGE('',*,*,#3873,.F.); -#2804=ORIENTED_EDGE('',*,*,#3870,.F.); -#2805=ORIENTED_EDGE('',*,*,#3867,.F.); -#2806=ORIENTED_EDGE('',*,*,#3864,.F.); -#2807=ORIENTED_EDGE('',*,*,#3861,.F.); -#2808=ORIENTED_EDGE('',*,*,#3858,.F.); -#2809=ORIENTED_EDGE('',*,*,#3855,.F.); -#2810=ORIENTED_EDGE('',*,*,#3852,.F.); -#2811=ORIENTED_EDGE('',*,*,#3849,.F.); -#2812=ORIENTED_EDGE('',*,*,#3846,.F.); -#2813=ORIENTED_EDGE('',*,*,#3841,.T.); -#2814=ORIENTED_EDGE('',*,*,#3845,.T.); -#2815=ORIENTED_EDGE('',*,*,#3848,.T.); -#2816=ORIENTED_EDGE('',*,*,#3851,.T.); -#2817=ORIENTED_EDGE('',*,*,#3854,.T.); -#2818=ORIENTED_EDGE('',*,*,#3857,.T.); -#2819=ORIENTED_EDGE('',*,*,#3860,.T.); -#2820=ORIENTED_EDGE('',*,*,#3863,.T.); -#2821=ORIENTED_EDGE('',*,*,#3866,.T.); -#2822=ORIENTED_EDGE('',*,*,#3869,.T.); -#2823=ORIENTED_EDGE('',*,*,#3872,.T.); -#2824=ORIENTED_EDGE('',*,*,#3875,.T.); -#2825=ORIENTED_EDGE('',*,*,#3878,.T.); -#2826=ORIENTED_EDGE('',*,*,#3880,.F.); -#2827=ORIENTED_EDGE('',*,*,#3881,.F.); -#2828=ORIENTED_EDGE('',*,*,#3882,.T.); -#2829=ORIENTED_EDGE('',*,*,#3883,.T.); -#2830=ORIENTED_EDGE('',*,*,#3884,.F.); -#2831=ORIENTED_EDGE('',*,*,#3883,.F.); -#2832=ORIENTED_EDGE('',*,*,#3885,.T.); -#2833=ORIENTED_EDGE('',*,*,#3886,.T.); -#2834=ORIENTED_EDGE('',*,*,#3887,.F.); -#2835=ORIENTED_EDGE('',*,*,#3886,.F.); -#2836=ORIENTED_EDGE('',*,*,#3888,.T.); -#2837=ORIENTED_EDGE('',*,*,#3889,.T.); -#2838=ORIENTED_EDGE('',*,*,#3890,.F.); -#2839=ORIENTED_EDGE('',*,*,#3889,.F.); -#2840=ORIENTED_EDGE('',*,*,#3891,.T.); -#2841=ORIENTED_EDGE('',*,*,#3892,.T.); -#2842=ORIENTED_EDGE('',*,*,#3893,.F.); -#2843=ORIENTED_EDGE('',*,*,#3892,.F.); -#2844=ORIENTED_EDGE('',*,*,#3894,.T.); -#2845=ORIENTED_EDGE('',*,*,#3895,.T.); -#2846=ORIENTED_EDGE('',*,*,#3896,.F.); -#2847=ORIENTED_EDGE('',*,*,#3895,.F.); -#2848=ORIENTED_EDGE('',*,*,#3897,.T.); -#2849=ORIENTED_EDGE('',*,*,#3898,.T.); -#2850=ORIENTED_EDGE('',*,*,#3899,.F.); -#2851=ORIENTED_EDGE('',*,*,#3898,.F.); -#2852=ORIENTED_EDGE('',*,*,#3900,.T.); -#2853=ORIENTED_EDGE('',*,*,#3901,.T.); -#2854=ORIENTED_EDGE('',*,*,#3902,.F.); -#2855=ORIENTED_EDGE('',*,*,#3901,.F.); -#2856=ORIENTED_EDGE('',*,*,#3903,.T.); -#2857=ORIENTED_EDGE('',*,*,#3904,.T.); -#2858=ORIENTED_EDGE('',*,*,#3905,.F.); -#2859=ORIENTED_EDGE('',*,*,#3904,.F.); -#2860=ORIENTED_EDGE('',*,*,#3906,.T.); -#2861=ORIENTED_EDGE('',*,*,#3907,.T.); -#2862=ORIENTED_EDGE('',*,*,#3908,.F.); -#2863=ORIENTED_EDGE('',*,*,#3907,.F.); -#2864=ORIENTED_EDGE('',*,*,#3909,.T.); -#2865=ORIENTED_EDGE('',*,*,#3910,.T.); -#2866=ORIENTED_EDGE('',*,*,#3911,.F.); -#2867=ORIENTED_EDGE('',*,*,#3910,.F.); -#2868=ORIENTED_EDGE('',*,*,#3912,.T.); -#2869=ORIENTED_EDGE('',*,*,#3913,.T.); -#2870=ORIENTED_EDGE('',*,*,#3914,.F.); -#2871=ORIENTED_EDGE('',*,*,#3913,.F.); -#2872=ORIENTED_EDGE('',*,*,#3915,.T.); -#2873=ORIENTED_EDGE('',*,*,#3916,.T.); -#2874=ORIENTED_EDGE('',*,*,#3917,.F.); -#2875=ORIENTED_EDGE('',*,*,#3916,.F.); -#2876=ORIENTED_EDGE('',*,*,#3918,.T.); -#2877=ORIENTED_EDGE('',*,*,#3881,.T.); -#2878=ORIENTED_EDGE('',*,*,#3882,.F.); -#2879=ORIENTED_EDGE('',*,*,#3918,.F.); -#2880=ORIENTED_EDGE('',*,*,#3915,.F.); -#2881=ORIENTED_EDGE('',*,*,#3912,.F.); -#2882=ORIENTED_EDGE('',*,*,#3909,.F.); -#2883=ORIENTED_EDGE('',*,*,#3906,.F.); -#2884=ORIENTED_EDGE('',*,*,#3903,.F.); -#2885=ORIENTED_EDGE('',*,*,#3900,.F.); -#2886=ORIENTED_EDGE('',*,*,#3897,.F.); -#2887=ORIENTED_EDGE('',*,*,#3894,.F.); -#2888=ORIENTED_EDGE('',*,*,#3891,.F.); -#2889=ORIENTED_EDGE('',*,*,#3888,.F.); -#2890=ORIENTED_EDGE('',*,*,#3885,.F.); -#2891=ORIENTED_EDGE('',*,*,#3880,.T.); -#2892=ORIENTED_EDGE('',*,*,#3884,.T.); -#2893=ORIENTED_EDGE('',*,*,#3887,.T.); -#2894=ORIENTED_EDGE('',*,*,#3890,.T.); -#2895=ORIENTED_EDGE('',*,*,#3893,.T.); -#2896=ORIENTED_EDGE('',*,*,#3896,.T.); -#2897=ORIENTED_EDGE('',*,*,#3899,.T.); -#2898=ORIENTED_EDGE('',*,*,#3902,.T.); -#2899=ORIENTED_EDGE('',*,*,#3905,.T.); -#2900=ORIENTED_EDGE('',*,*,#3908,.T.); -#2901=ORIENTED_EDGE('',*,*,#3911,.T.); -#2902=ORIENTED_EDGE('',*,*,#3914,.T.); -#2903=ORIENTED_EDGE('',*,*,#3917,.T.); -#2904=ORIENTED_EDGE('',*,*,#3919,.F.); -#2905=ORIENTED_EDGE('',*,*,#3920,.F.); -#2906=ORIENTED_EDGE('',*,*,#3921,.T.); -#2907=ORIENTED_EDGE('',*,*,#3922,.T.); -#2908=ORIENTED_EDGE('',*,*,#3923,.F.); -#2909=ORIENTED_EDGE('',*,*,#3922,.F.); -#2910=ORIENTED_EDGE('',*,*,#3924,.T.); -#2911=ORIENTED_EDGE('',*,*,#3925,.T.); -#2912=ORIENTED_EDGE('',*,*,#3926,.F.); -#2913=ORIENTED_EDGE('',*,*,#3925,.F.); -#2914=ORIENTED_EDGE('',*,*,#3927,.T.); -#2915=ORIENTED_EDGE('',*,*,#3928,.T.); -#2916=ORIENTED_EDGE('',*,*,#3929,.F.); -#2917=ORIENTED_EDGE('',*,*,#3928,.F.); -#2918=ORIENTED_EDGE('',*,*,#3930,.T.); -#2919=ORIENTED_EDGE('',*,*,#3931,.T.); -#2920=ORIENTED_EDGE('',*,*,#3932,.F.); -#2921=ORIENTED_EDGE('',*,*,#3931,.F.); -#2922=ORIENTED_EDGE('',*,*,#3933,.T.); -#2923=ORIENTED_EDGE('',*,*,#3934,.T.); -#2924=ORIENTED_EDGE('',*,*,#3935,.F.); -#2925=ORIENTED_EDGE('',*,*,#3934,.F.); -#2926=ORIENTED_EDGE('',*,*,#3936,.T.); -#2927=ORIENTED_EDGE('',*,*,#3937,.T.); -#2928=ORIENTED_EDGE('',*,*,#3938,.F.); -#2929=ORIENTED_EDGE('',*,*,#3937,.F.); -#2930=ORIENTED_EDGE('',*,*,#3939,.T.); -#2931=ORIENTED_EDGE('',*,*,#3920,.T.); -#2932=ORIENTED_EDGE('',*,*,#3921,.F.); -#2933=ORIENTED_EDGE('',*,*,#3939,.F.); -#2934=ORIENTED_EDGE('',*,*,#3936,.F.); -#2935=ORIENTED_EDGE('',*,*,#3933,.F.); -#2936=ORIENTED_EDGE('',*,*,#3930,.F.); -#2937=ORIENTED_EDGE('',*,*,#3927,.F.); -#2938=ORIENTED_EDGE('',*,*,#3924,.F.); -#2939=ORIENTED_EDGE('',*,*,#3919,.T.); -#2940=ORIENTED_EDGE('',*,*,#3923,.T.); -#2941=ORIENTED_EDGE('',*,*,#3926,.T.); -#2942=ORIENTED_EDGE('',*,*,#3929,.T.); -#2943=ORIENTED_EDGE('',*,*,#3932,.T.); -#2944=ORIENTED_EDGE('',*,*,#3935,.T.); -#2945=ORIENTED_EDGE('',*,*,#3938,.T.); -#2946=ORIENTED_EDGE('',*,*,#3940,.T.); -#2947=ORIENTED_EDGE('',*,*,#3941,.T.); -#2948=ORIENTED_EDGE('',*,*,#3942,.T.); -#2949=ORIENTED_EDGE('',*,*,#3943,.F.); -#2950=ORIENTED_EDGE('',*,*,#3944,.T.); -#2951=ORIENTED_EDGE('',*,*,#3945,.T.); -#2952=ORIENTED_EDGE('',*,*,#3946,.F.); -#2953=ORIENTED_EDGE('',*,*,#3947,.T.); -#2954=ORIENTED_EDGE('',*,*,#3948,.T.); -#2955=ORIENTED_EDGE('',*,*,#3949,.F.); -#2956=ORIENTED_EDGE('',*,*,#3950,.T.); -#2957=ORIENTED_EDGE('',*,*,#3951,.T.); -#2958=ORIENTED_EDGE('',*,*,#3952,.T.); -#2959=ORIENTED_EDGE('',*,*,#3953,.T.); -#2960=ORIENTED_EDGE('',*,*,#3954,.T.); -#2961=ORIENTED_EDGE('',*,*,#3955,.F.); -#2962=ORIENTED_EDGE('',*,*,#3956,.T.); -#2963=ORIENTED_EDGE('',*,*,#3957,.T.); -#2964=ORIENTED_EDGE('',*,*,#3958,.T.); -#2965=ORIENTED_EDGE('',*,*,#3942,.F.); -#2966=ORIENTED_EDGE('',*,*,#3959,.T.); -#2967=ORIENTED_EDGE('',*,*,#3960,.T.); -#2968=ORIENTED_EDGE('',*,*,#3961,.F.); -#2969=ORIENTED_EDGE('',*,*,#3962,.T.); -#2970=ORIENTED_EDGE('',*,*,#3963,.T.); -#2971=ORIENTED_EDGE('',*,*,#3949,.T.); -#2972=ORIENTED_EDGE('',*,*,#3964,.T.); -#2973=ORIENTED_EDGE('',*,*,#3965,.T.); -#2974=ORIENTED_EDGE('',*,*,#3947,.F.); -#2975=ORIENTED_EDGE('',*,*,#3966,.T.); -#2976=ORIENTED_EDGE('',*,*,#3945,.F.); -#2977=ORIENTED_EDGE('',*,*,#3967,.T.); -#2978=ORIENTED_EDGE('',*,*,#3968,.T.); -#2979=ORIENTED_EDGE('',*,*,#3969,.T.); -#2980=ORIENTED_EDGE('',*,*,#3960,.F.); -#2981=ORIENTED_EDGE('',*,*,#3970,.T.); -#2982=ORIENTED_EDGE('',*,*,#3971,.T.); -#2983=ORIENTED_EDGE('',*,*,#3972,.T.); -#2984=ORIENTED_EDGE('',*,*,#3973,.T.); -#2985=ORIENTED_EDGE('',*,*,#3974,.T.); -#2986=ORIENTED_EDGE('',*,*,#3953,.F.); -#2987=ORIENTED_EDGE('',*,*,#3975,.T.); -#2988=ORIENTED_EDGE('',*,*,#3955,.T.); -#2989=ORIENTED_EDGE('',*,*,#3976,.T.); -#2990=ORIENTED_EDGE('',*,*,#3977,.T.); -#2991=ORIENTED_EDGE('',*,*,#3961,.T.); -#2992=ORIENTED_EDGE('',*,*,#3978,.T.); -#2993=ORIENTED_EDGE('',*,*,#3946,.T.); -#2994=ORIENTED_EDGE('',*,*,#3979,.T.); -#2995=ORIENTED_EDGE('',*,*,#3980,.T.); -#2996=ORIENTED_EDGE('',*,*,#3981,.T.); -#2997=ORIENTED_EDGE('',*,*,#3982,.T.); -#2998=ORIENTED_EDGE('',*,*,#3943,.T.); -#2999=ORIENTED_EDGE('',*,*,#3983,.T.); -#3000=ORIENTED_EDGE('',*,*,#3980,.F.); -#3001=ORIENTED_EDGE('',*,*,#3983,.F.); -#3002=ORIENTED_EDGE('',*,*,#3969,.F.); -#3003=ORIENTED_EDGE('',*,*,#3984,.F.); -#3004=ORIENTED_EDGE('',*,*,#3985,.F.); -#3005=ORIENTED_EDGE('',*,*,#3986,.F.); -#3006=ORIENTED_EDGE('',*,*,#3966,.F.); -#3007=ORIENTED_EDGE('',*,*,#3978,.F.); -#3008=ORIENTED_EDGE('',*,*,#3972,.F.); -#3009=ORIENTED_EDGE('',*,*,#3987,.F.); -#3010=ORIENTED_EDGE('',*,*,#3988,.F.); -#3011=ORIENTED_EDGE('',*,*,#3989,.F.); -#3012=ORIENTED_EDGE('',*,*,#3975,.F.); -#3013=ORIENTED_EDGE('',*,*,#3979,.F.); -#3014=ORIENTED_EDGE('',*,*,#3971,.F.); -#3015=ORIENTED_EDGE('',*,*,#3990,.T.); -#3016=ORIENTED_EDGE('',*,*,#3991,.T.); -#3017=ORIENTED_EDGE('',*,*,#3992,.T.); -#3018=ORIENTED_EDGE('',*,*,#3993,.F.); -#3019=ORIENTED_EDGE('',*,*,#3987,.T.); -#3020=ORIENTED_EDGE('',*,*,#3994,.T.); -#3021=ORIENTED_EDGE('',*,*,#3995,.T.); -#3022=ORIENTED_EDGE('',*,*,#3973,.F.); -#3023=ORIENTED_EDGE('',*,*,#3989,.T.); -#3024=ORIENTED_EDGE('',*,*,#3996,.T.); -#3025=ORIENTED_EDGE('',*,*,#3997,.T.); -#3026=ORIENTED_EDGE('',*,*,#3993,.T.); -#3027=ORIENTED_EDGE('',*,*,#3998,.T.); -#3028=ORIENTED_EDGE('',*,*,#3996,.F.); -#3029=ORIENTED_EDGE('',*,*,#3988,.T.); -#3030=ORIENTED_EDGE('',*,*,#3999,.T.); -#3031=ORIENTED_EDGE('',*,*,#4000,.T.); -#3032=ORIENTED_EDGE('',*,*,#4001,.T.); -#3033=ORIENTED_EDGE('',*,*,#3964,.F.); -#3034=ORIENTED_EDGE('',*,*,#3986,.T.); -#3035=ORIENTED_EDGE('',*,*,#4002,.T.); -#3036=ORIENTED_EDGE('',*,*,#3968,.F.); -#3037=ORIENTED_EDGE('',*,*,#4003,.T.); -#3038=ORIENTED_EDGE('',*,*,#4004,.T.); -#3039=ORIENTED_EDGE('',*,*,#4005,.T.); -#3040=ORIENTED_EDGE('',*,*,#4006,.F.); -#3041=ORIENTED_EDGE('',*,*,#3984,.T.); -#3042=ORIENTED_EDGE('',*,*,#4006,.T.); -#3043=ORIENTED_EDGE('',*,*,#4007,.T.); -#3044=ORIENTED_EDGE('',*,*,#4002,.F.); -#3045=ORIENTED_EDGE('',*,*,#3985,.T.); -#3046=ORIENTED_EDGE('',*,*,#3948,.F.); -#3047=ORIENTED_EDGE('',*,*,#3965,.F.); -#3048=ORIENTED_EDGE('',*,*,#4008,.F.); -#3049=ORIENTED_EDGE('',*,*,#3962,.F.); -#3050=ORIENTED_EDGE('',*,*,#4008,.T.); -#3051=ORIENTED_EDGE('',*,*,#4001,.F.); -#3052=ORIENTED_EDGE('',*,*,#4009,.F.); -#3053=ORIENTED_EDGE('',*,*,#3963,.F.); -#3054=ORIENTED_EDGE('',*,*,#4000,.F.); -#3055=ORIENTED_EDGE('',*,*,#4010,.F.); -#3056=ORIENTED_EDGE('',*,*,#3950,.F.); -#3057=ORIENTED_EDGE('',*,*,#4009,.T.); -#3058=ORIENTED_EDGE('',*,*,#4007,.F.); -#3059=ORIENTED_EDGE('',*,*,#4005,.F.); -#3060=ORIENTED_EDGE('',*,*,#4011,.F.); -#3061=ORIENTED_EDGE('',*,*,#3951,.F.); -#3062=ORIENTED_EDGE('',*,*,#4010,.T.); -#3063=ORIENTED_EDGE('',*,*,#3999,.F.); -#3064=ORIENTED_EDGE('',*,*,#4011,.T.); -#3065=ORIENTED_EDGE('',*,*,#4004,.F.); -#3066=ORIENTED_EDGE('',*,*,#4012,.F.); -#3067=ORIENTED_EDGE('',*,*,#3952,.F.); -#3068=ORIENTED_EDGE('',*,*,#4012,.T.); -#3069=ORIENTED_EDGE('',*,*,#4003,.F.); -#3070=ORIENTED_EDGE('',*,*,#4013,.F.); -#3071=ORIENTED_EDGE('',*,*,#3981,.F.); -#3072=ORIENTED_EDGE('',*,*,#4013,.T.); -#3073=ORIENTED_EDGE('',*,*,#3967,.F.); -#3074=ORIENTED_EDGE('',*,*,#3944,.F.); -#3075=ORIENTED_EDGE('',*,*,#3982,.F.); -#3076=ORIENTED_EDGE('',*,*,#3959,.F.); -#3077=ORIENTED_EDGE('',*,*,#3941,.F.); -#3078=ORIENTED_EDGE('',*,*,#4014,.F.); -#3079=ORIENTED_EDGE('',*,*,#3970,.F.); -#3080=ORIENTED_EDGE('',*,*,#4014,.T.); -#3081=ORIENTED_EDGE('',*,*,#3940,.F.); -#3082=ORIENTED_EDGE('',*,*,#4015,.F.); -#3083=ORIENTED_EDGE('',*,*,#3990,.F.); -#3084=ORIENTED_EDGE('',*,*,#4015,.T.); -#3085=ORIENTED_EDGE('',*,*,#3958,.F.); -#3086=ORIENTED_EDGE('',*,*,#4016,.F.); -#3087=ORIENTED_EDGE('',*,*,#3991,.F.); -#3088=ORIENTED_EDGE('',*,*,#4016,.T.); -#3089=ORIENTED_EDGE('',*,*,#3957,.F.); -#3090=ORIENTED_EDGE('',*,*,#4017,.F.); -#3091=ORIENTED_EDGE('',*,*,#3997,.F.); -#3092=ORIENTED_EDGE('',*,*,#3998,.F.); -#3093=ORIENTED_EDGE('',*,*,#3992,.F.); -#3094=ORIENTED_EDGE('',*,*,#4017,.T.); -#3095=ORIENTED_EDGE('',*,*,#3956,.F.); -#3096=ORIENTED_EDGE('',*,*,#4018,.F.); -#3097=ORIENTED_EDGE('',*,*,#3994,.F.); -#3098=ORIENTED_EDGE('',*,*,#4018,.T.); -#3099=ORIENTED_EDGE('',*,*,#3977,.F.); -#3100=ORIENTED_EDGE('',*,*,#4019,.F.); -#3101=ORIENTED_EDGE('',*,*,#3995,.F.); -#3102=ORIENTED_EDGE('',*,*,#3954,.F.); -#3103=ORIENTED_EDGE('',*,*,#3974,.F.); -#3104=ORIENTED_EDGE('',*,*,#4019,.T.); -#3105=ORIENTED_EDGE('',*,*,#3976,.F.); -#3106=ORIENTED_EDGE('',*,*,#4020,.T.); -#3107=ORIENTED_EDGE('',*,*,#4021,.F.); -#3108=ORIENTED_EDGE('',*,*,#4022,.F.); -#3109=ORIENTED_EDGE('',*,*,#4023,.F.); -#3110=ORIENTED_EDGE('',*,*,#4024,.T.); -#3111=ORIENTED_EDGE('',*,*,#4025,.F.); -#3112=ORIENTED_EDGE('',*,*,#4020,.F.); -#3113=ORIENTED_EDGE('',*,*,#4026,.F.); -#3114=ORIENTED_EDGE('',*,*,#4027,.T.); -#3115=ORIENTED_EDGE('',*,*,#4028,.F.); -#3116=ORIENTED_EDGE('',*,*,#4024,.F.); -#3117=ORIENTED_EDGE('',*,*,#4029,.F.); -#3118=ORIENTED_EDGE('',*,*,#4030,.F.); -#3119=ORIENTED_EDGE('',*,*,#4031,.F.); -#3120=ORIENTED_EDGE('',*,*,#4027,.F.); -#3121=ORIENTED_EDGE('',*,*,#4032,.F.); -#3122=ORIENTED_EDGE('',*,*,#4033,.T.); -#3123=ORIENTED_EDGE('',*,*,#4034,.F.); -#3124=ORIENTED_EDGE('',*,*,#4035,.F.); -#3125=ORIENTED_EDGE('',*,*,#4033,.F.); -#3126=ORIENTED_EDGE('',*,*,#4036,.F.); -#3127=ORIENTED_EDGE('',*,*,#4037,.T.); -#3128=ORIENTED_EDGE('',*,*,#4038,.T.); -#3129=ORIENTED_EDGE('',*,*,#4039,.F.); -#3130=ORIENTED_EDGE('',*,*,#4037,.F.); -#3131=ORIENTED_EDGE('',*,*,#4040,.F.); -#3132=ORIENTED_EDGE('',*,*,#4041,.F.); -#3133=ORIENTED_EDGE('',*,*,#4042,.F.); -#3134=ORIENTED_EDGE('',*,*,#4038,.F.); -#3135=ORIENTED_EDGE('',*,*,#4043,.F.); -#3136=ORIENTED_EDGE('',*,*,#4044,.F.); -#3137=ORIENTED_EDGE('',*,*,#4045,.F.); -#3138=ORIENTED_EDGE('',*,*,#4046,.T.); -#3139=ORIENTED_EDGE('',*,*,#4047,.F.); -#3140=ORIENTED_EDGE('',*,*,#4048,.T.); -#3141=ORIENTED_EDGE('',*,*,#4049,.F.); -#3142=ORIENTED_EDGE('',*,*,#4046,.F.); -#3143=ORIENTED_EDGE('',*,*,#4050,.F.); -#3144=ORIENTED_EDGE('',*,*,#4051,.F.); -#3145=ORIENTED_EDGE('',*,*,#4052,.F.); -#3146=ORIENTED_EDGE('',*,*,#4053,.F.); -#3147=ORIENTED_EDGE('',*,*,#4054,.F.); -#3148=ORIENTED_EDGE('',*,*,#4055,.T.); -#3149=ORIENTED_EDGE('',*,*,#4056,.F.); -#3150=ORIENTED_EDGE('',*,*,#4048,.F.); -#3151=ORIENTED_EDGE('',*,*,#4057,.F.); -#3152=ORIENTED_EDGE('',*,*,#4053,.T.); -#3153=ORIENTED_EDGE('',*,*,#4058,.F.); -#3154=ORIENTED_EDGE('',*,*,#4059,.F.); -#3155=ORIENTED_EDGE('',*,*,#4060,.F.); -#3156=ORIENTED_EDGE('',*,*,#4061,.T.); -#3157=ORIENTED_EDGE('',*,*,#4062,.F.); -#3158=ORIENTED_EDGE('',*,*,#4055,.F.); -#3159=ORIENTED_EDGE('',*,*,#4063,.F.); -#3160=ORIENTED_EDGE('',*,*,#4064,.F.); -#3161=ORIENTED_EDGE('',*,*,#4065,.F.); -#3162=ORIENTED_EDGE('',*,*,#4059,.T.); -#3163=ORIENTED_EDGE('',*,*,#4066,.F.); -#3164=ORIENTED_EDGE('',*,*,#4061,.F.); -#3165=ORIENTED_EDGE('',*,*,#4067,.F.); -#3166=ORIENTED_EDGE('',*,*,#4068,.F.); -#3167=ORIENTED_EDGE('',*,*,#4069,.F.); -#3168=ORIENTED_EDGE('',*,*,#4070,.F.); -#3169=ORIENTED_EDGE('',*,*,#4071,.F.); -#3170=ORIENTED_EDGE('',*,*,#4072,.F.); -#3171=ORIENTED_EDGE('',*,*,#4073,.F.); -#3172=ORIENTED_EDGE('',*,*,#4074,.F.); -#3173=ORIENTED_EDGE('',*,*,#4075,.F.); -#3174=ORIENTED_EDGE('',*,*,#4076,.F.); -#3175=ORIENTED_EDGE('',*,*,#4077,.F.); -#3176=ORIENTED_EDGE('',*,*,#4078,.F.); -#3177=ORIENTED_EDGE('',*,*,#4079,.F.); -#3178=ORIENTED_EDGE('',*,*,#4080,.T.); -#3179=ORIENTED_EDGE('',*,*,#4045,.T.); -#3180=ORIENTED_EDGE('',*,*,#4081,.F.); -#3181=ORIENTED_EDGE('',*,*,#4078,.T.); -#3182=ORIENTED_EDGE('',*,*,#4082,.F.); -#3183=ORIENTED_EDGE('',*,*,#4054,.T.); -#3184=ORIENTED_EDGE('',*,*,#4083,.T.); -#3185=ORIENTED_EDGE('',*,*,#4074,.T.); -#3186=ORIENTED_EDGE('',*,*,#4084,.F.); -#3187=ORIENTED_EDGE('',*,*,#4021,.T.); -#3188=ORIENTED_EDGE('',*,*,#4085,.T.); -#3189=ORIENTED_EDGE('',*,*,#4068,.T.); -#3190=ORIENTED_EDGE('',*,*,#4086,.T.); -#3191=ORIENTED_EDGE('',*,*,#4042,.T.); -#3192=ORIENTED_EDGE('',*,*,#4087,.F.); -#3193=ORIENTED_EDGE('',*,*,#4072,.T.); -#3194=ORIENTED_EDGE('',*,*,#4034,.T.); -#3195=ORIENTED_EDGE('',*,*,#4035,.T.); -#3196=ORIENTED_EDGE('',*,*,#4039,.T.); -#3197=ORIENTED_EDGE('',*,*,#4086,.F.); -#3198=ORIENTED_EDGE('',*,*,#4071,.T.); -#3199=ORIENTED_EDGE('',*,*,#4088,.T.); -#3200=ORIENTED_EDGE('',*,*,#4085,.F.); -#3201=ORIENTED_EDGE('',*,*,#4025,.T.); -#3202=ORIENTED_EDGE('',*,*,#4028,.T.); -#3203=ORIENTED_EDGE('',*,*,#4031,.T.); -#3204=ORIENTED_EDGE('',*,*,#4089,.F.); -#3205=ORIENTED_EDGE('',*,*,#4069,.T.); -#3206=ORIENTED_EDGE('',*,*,#4083,.F.); -#3207=ORIENTED_EDGE('',*,*,#4060,.T.); -#3208=ORIENTED_EDGE('',*,*,#4067,.T.); -#3209=ORIENTED_EDGE('',*,*,#4065,.T.); -#3210=ORIENTED_EDGE('',*,*,#4090,.F.); -#3211=ORIENTED_EDGE('',*,*,#4075,.T.); -#3212=ORIENTED_EDGE('',*,*,#4089,.T.); -#3213=ORIENTED_EDGE('',*,*,#4030,.T.); -#3214=ORIENTED_EDGE('',*,*,#4088,.F.); -#3215=ORIENTED_EDGE('',*,*,#4070,.T.); -#3216=ORIENTED_EDGE('',*,*,#4057,.T.); -#3217=ORIENTED_EDGE('',*,*,#4050,.T.); -#3218=ORIENTED_EDGE('',*,*,#4080,.F.); -#3219=ORIENTED_EDGE('',*,*,#4077,.T.); -#3220=ORIENTED_EDGE('',*,*,#4091,.T.); -#3221=ORIENTED_EDGE('',*,*,#4063,.T.); -#3222=ORIENTED_EDGE('',*,*,#4090,.T.); -#3223=ORIENTED_EDGE('',*,*,#4064,.T.); -#3224=ORIENTED_EDGE('',*,*,#4091,.F.); -#3225=ORIENTED_EDGE('',*,*,#4076,.T.); -#3226=ORIENTED_EDGE('',*,*,#4081,.T.); -#3227=ORIENTED_EDGE('',*,*,#4044,.T.); -#3228=ORIENTED_EDGE('',*,*,#4092,.F.); -#3229=ORIENTED_EDGE('',*,*,#4056,.T.); -#3230=ORIENTED_EDGE('',*,*,#4062,.T.); -#3231=ORIENTED_EDGE('',*,*,#4066,.T.); -#3232=ORIENTED_EDGE('',*,*,#4093,.F.); -#3233=ORIENTED_EDGE('',*,*,#4051,.T.); -#3234=ORIENTED_EDGE('',*,*,#4082,.T.); -#3235=ORIENTED_EDGE('',*,*,#4094,.F.); -#3236=ORIENTED_EDGE('',*,*,#4095,.F.); -#3237=ORIENTED_EDGE('',*,*,#4022,.T.); -#3238=ORIENTED_EDGE('',*,*,#4084,.T.); -#3239=ORIENTED_EDGE('',*,*,#4096,.F.); -#3240=ORIENTED_EDGE('',*,*,#4087,.T.); -#3241=ORIENTED_EDGE('',*,*,#4041,.T.); -#3242=ORIENTED_EDGE('',*,*,#4097,.F.); -#3243=ORIENTED_EDGE('',*,*,#4036,.T.); -#3244=ORIENTED_EDGE('',*,*,#4032,.T.); -#3245=ORIENTED_EDGE('',*,*,#4029,.T.); -#3246=ORIENTED_EDGE('',*,*,#4098,.F.); -#3247=ORIENTED_EDGE('',*,*,#4099,.F.); -#3248=ORIENTED_EDGE('',*,*,#4094,.T.); -#3249=ORIENTED_EDGE('',*,*,#4073,.T.); -#3250=ORIENTED_EDGE('',*,*,#4096,.T.); -#3251=ORIENTED_EDGE('',*,*,#4079,.T.); -#3252=ORIENTED_EDGE('',*,*,#4098,.T.); -#3253=ORIENTED_EDGE('',*,*,#4026,.T.); -#3254=ORIENTED_EDGE('',*,*,#4023,.T.); -#3255=ORIENTED_EDGE('',*,*,#4095,.T.); -#3256=ORIENTED_EDGE('',*,*,#4099,.T.); -#3257=ORIENTED_EDGE('',*,*,#4092,.T.); -#3258=ORIENTED_EDGE('',*,*,#4047,.T.); -#3259=ORIENTED_EDGE('',*,*,#4049,.T.); -#3260=ORIENTED_EDGE('',*,*,#4043,.T.); -#3261=ORIENTED_EDGE('',*,*,#4040,.T.); -#3262=ORIENTED_EDGE('',*,*,#4097,.T.); -#3263=ORIENTED_EDGE('',*,*,#4058,.T.); -#3264=ORIENTED_EDGE('',*,*,#4052,.T.); -#3265=ORIENTED_EDGE('',*,*,#4093,.T.); -#3266=EDGE_CURVE('',#4100,#4101,#4652,.T.); -#3267=EDGE_CURVE('',#4102,#4100,#682,.F.); -#3268=EDGE_CURVE('',#4103,#4102,#4653,.T.); -#3269=EDGE_CURVE('',#4101,#4103,#683,.T.); -#3270=EDGE_CURVE('',#4104,#4105,#4654,.F.); -#3271=EDGE_CURVE('',#4104,#4106,#684,.T.); -#3272=EDGE_CURVE('',#4107,#4106,#4655,.F.); -#3273=EDGE_CURVE('',#4105,#4107,#685,.F.); -#3274=EDGE_CURVE('',#4107,#4108,#686,.T.); -#3275=EDGE_CURVE('',#4106,#4109,#687,.T.); -#3276=EDGE_CURVE('',#4108,#4109,#688,.T.); -#3277=EDGE_CURVE('',#4110,#4104,#689,.T.); -#3278=EDGE_CURVE('',#4111,#4105,#690,.T.); -#3279=EDGE_CURVE('',#4111,#4110,#691,.T.); -#3280=EDGE_CURVE('',#4108,#4111,#692,.T.); -#3281=EDGE_CURVE('',#4103,#4112,#693,.T.); -#3282=EDGE_CURVE('',#4102,#4113,#694,.T.); -#3283=EDGE_CURVE('',#4113,#4112,#695,.T.); -#3284=EDGE_CURVE('',#4114,#4100,#696,.T.); -#3285=EDGE_CURVE('',#4115,#4101,#697,.T.); -#3286=EDGE_CURVE('',#4114,#4115,#698,.T.); -#3287=EDGE_CURVE('',#4113,#4114,#699,.T.); -#3288=EDGE_CURVE('',#4116,#4117,#700,.T.); -#3289=EDGE_CURVE('',#4118,#4116,#701,.T.); -#3290=EDGE_CURVE('',#4118,#4119,#702,.T.); -#3291=EDGE_CURVE('',#4119,#4117,#703,.T.); -#3292=EDGE_CURVE('',#4117,#4109,#704,.T.); -#3293=EDGE_CURVE('',#4119,#4120,#705,.T.); -#3294=EDGE_CURVE('',#4120,#4121,#706,.T.); -#3295=EDGE_CURVE('',#4110,#4121,#707,.T.); -#3296=EDGE_CURVE('',#4121,#4122,#708,.T.); -#3297=EDGE_CURVE('',#4120,#4123,#709,.T.); -#3298=EDGE_CURVE('',#4123,#4122,#710,.T.); -#3299=EDGE_CURVE('',#4122,#4115,#711,.T.); -#3300=EDGE_CURVE('',#4123,#4118,#712,.T.); -#3301=EDGE_CURVE('',#4112,#4116,#713,.T.); -#3302=EDGE_CURVE('',#4124,#4125,#714,.T.); -#3303=EDGE_CURVE('',#4126,#4124,#715,.T.); -#3304=EDGE_CURVE('',#4126,#4127,#716,.T.); -#3305=EDGE_CURVE('',#4127,#4125,#717,.T.); -#3306=EDGE_CURVE('',#4128,#4129,#718,.T.); -#3307=EDGE_CURVE('',#4129,#4130,#719,.T.); -#3308=EDGE_CURVE('',#4130,#4131,#720,.T.); -#3309=EDGE_CURVE('',#4131,#4132,#721,.T.); -#3310=EDGE_CURVE('',#4132,#4133,#722,.T.); -#3311=EDGE_CURVE('',#4134,#4133,#723,.T.); -#3312=EDGE_CURVE('',#4135,#4134,#724,.T.); -#3313=EDGE_CURVE('',#4136,#4135,#725,.T.); -#3314=EDGE_CURVE('',#4136,#4137,#726,.T.); -#3315=EDGE_CURVE('',#4137,#4138,#727,.T.); -#3316=EDGE_CURVE('',#4138,#4139,#728,.T.); -#3317=EDGE_CURVE('',#4139,#4128,#729,.T.); -#3318=EDGE_CURVE('',#4140,#4141,#730,.T.); -#3319=EDGE_CURVE('',#4140,#4142,#731,.T.); -#3320=EDGE_CURVE('',#4143,#4142,#732,.T.); -#3321=EDGE_CURVE('',#4144,#4143,#733,.T.); -#3322=EDGE_CURVE('',#4144,#4145,#4656,.T.); -#3323=EDGE_CURVE('',#4145,#4146,#734,.T.); -#3324=EDGE_CURVE('',#4146,#4147,#4657,.T.); -#3325=EDGE_CURVE('',#4147,#4148,#735,.T.); -#3326=EDGE_CURVE('',#4149,#4148,#736,.T.); -#3327=EDGE_CURVE('',#4150,#4149,#737,.T.); -#3328=EDGE_CURVE('',#4151,#4150,#738,.T.); -#3329=EDGE_CURVE('',#4151,#4152,#739,.T.); -#3330=EDGE_CURVE('',#4153,#4152,#740,.T.); -#3331=EDGE_CURVE('',#4141,#4153,#741,.T.); -#3332=EDGE_CURVE('',#4154,#4155,#742,.T.); -#3333=EDGE_CURVE('',#4155,#4156,#743,.T.); -#3334=EDGE_CURVE('',#4156,#4157,#744,.T.); -#3335=EDGE_CURVE('',#4154,#4157,#745,.T.); -#3336=EDGE_CURVE('',#4158,#4159,#746,.T.); -#3337=EDGE_CURVE('',#4159,#4160,#747,.T.); -#3338=EDGE_CURVE('',#4160,#4161,#748,.T.); -#3339=EDGE_CURVE('',#4158,#4161,#749,.T.); -#3340=EDGE_CURVE('',#4162,#4163,#750,.T.); -#3341=EDGE_CURVE('',#4163,#4144,#751,.T.); -#3342=EDGE_CURVE('',#4162,#4143,#752,.T.); -#3343=EDGE_CURVE('',#4153,#4164,#753,.T.); -#3344=EDGE_CURVE('',#4165,#4152,#754,.T.); -#3345=EDGE_CURVE('',#4166,#4165,#755,.T.); -#3346=EDGE_CURVE('',#4167,#4166,#756,.T.); -#3347=EDGE_CURVE('',#4168,#4167,#757,.T.); -#3348=EDGE_CURVE('',#4169,#4168,#758,.T.); -#3349=EDGE_CURVE('',#4170,#4169,#759,.T.); -#3350=EDGE_CURVE('',#4149,#4170,#760,.T.); -#3351=EDGE_CURVE('',#4148,#4171,#761,.T.); -#3352=EDGE_CURVE('',#4172,#4171,#762,.T.); -#3353=EDGE_CURVE('',#4173,#4172,#763,.T.); -#3354=EDGE_CURVE('',#4154,#4173,#764,.T.); -#3355=EDGE_CURVE('',#4174,#4157,#765,.T.); -#3356=EDGE_CURVE('',#4175,#4174,#766,.T.); -#3357=EDGE_CURVE('',#4176,#4175,#767,.T.); -#3358=EDGE_CURVE('',#4176,#4177,#768,.T.); -#3359=EDGE_CURVE('',#4177,#4178,#769,.T.); -#3360=EDGE_CURVE('',#4178,#4179,#770,.T.); -#3361=EDGE_CURVE('',#4179,#4180,#771,.T.); -#3362=EDGE_CURVE('',#4180,#4181,#772,.T.); -#3363=EDGE_CURVE('',#4181,#4182,#773,.T.); -#3364=EDGE_CURVE('',#4182,#4183,#774,.T.); -#3365=EDGE_CURVE('',#4183,#4184,#775,.T.); -#3366=EDGE_CURVE('',#4184,#4185,#776,.T.); -#3367=EDGE_CURVE('',#4185,#4186,#777,.T.); -#3368=EDGE_CURVE('',#4186,#4187,#778,.T.); -#3369=EDGE_CURVE('',#4187,#4188,#779,.T.); -#3370=EDGE_CURVE('',#4188,#4189,#780,.T.); -#3371=EDGE_CURVE('',#4189,#4190,#781,.T.); -#3372=EDGE_CURVE('',#4190,#4191,#782,.T.); -#3373=EDGE_CURVE('',#4191,#4192,#783,.T.); -#3374=EDGE_CURVE('',#4192,#4193,#784,.T.); -#3375=EDGE_CURVE('',#4193,#4194,#785,.T.); -#3376=EDGE_CURVE('',#4194,#4195,#786,.T.); -#3377=EDGE_CURVE('',#4195,#4196,#787,.T.); -#3378=EDGE_CURVE('',#4196,#4197,#788,.T.); -#3379=EDGE_CURVE('',#4197,#4158,#789,.T.); -#3380=EDGE_CURVE('',#4161,#4198,#790,.T.); -#3381=EDGE_CURVE('',#4198,#4199,#791,.T.); -#3382=EDGE_CURVE('',#4199,#4162,#792,.T.); -#3383=EDGE_CURVE('',#4200,#4142,#793,.T.); -#3384=EDGE_CURVE('',#4201,#4200,#794,.T.); -#3385=EDGE_CURVE('',#4202,#4201,#795,.T.); -#3386=EDGE_CURVE('',#4203,#4202,#796,.T.); -#3387=EDGE_CURVE('',#4204,#4203,#797,.T.); -#3388=EDGE_CURVE('',#4164,#4204,#798,.T.); -#3389=EDGE_CURVE('',#4205,#4206,#799,.T.); -#3390=EDGE_CURVE('',#4207,#4205,#800,.T.); -#3391=EDGE_CURVE('',#4208,#4207,#801,.T.); -#3392=EDGE_CURVE('',#4206,#4208,#802,.T.); -#3393=EDGE_CURVE('',#4209,#4210,#803,.T.); -#3394=EDGE_CURVE('',#4211,#4209,#804,.T.); -#3395=EDGE_CURVE('',#4212,#4211,#805,.T.); -#3396=EDGE_CURVE('',#4210,#4212,#806,.T.); -#3397=EDGE_CURVE('',#4213,#4214,#807,.T.); -#3398=EDGE_CURVE('',#4215,#4213,#808,.T.); -#3399=EDGE_CURVE('',#4215,#4216,#809,.T.); -#3400=EDGE_CURVE('',#4216,#4214,#810,.T.); -#3401=EDGE_CURVE('',#4147,#4217,#811,.T.); -#3402=EDGE_CURVE('',#4217,#4171,#812,.T.); -#3403=EDGE_CURVE('',#4218,#4219,#813,.T.); -#3404=EDGE_CURVE('',#4218,#4220,#814,.F.); -#3405=EDGE_CURVE('',#4221,#4220,#815,.T.); -#3406=EDGE_CURVE('',#4221,#4219,#816,.F.); -#3407=EDGE_CURVE('',#4145,#4222,#817,.F.); -#3408=EDGE_CURVE('',#4223,#4222,#818,.T.); -#3409=EDGE_CURVE('',#4223,#4146,#819,.F.); -#3410=EDGE_CURVE('',#4176,#4224,#820,.T.); -#3411=EDGE_CURVE('',#4224,#4225,#821,.T.); -#3412=EDGE_CURVE('',#4225,#4177,#822,.T.); -#3413=EDGE_CURVE('',#4226,#4194,#823,.T.); -#3414=EDGE_CURVE('',#4226,#4227,#824,.T.); -#3415=EDGE_CURVE('',#4227,#4195,#825,.T.); -#3416=EDGE_CURVE('',#4228,#4229,#826,.T.); -#3417=EDGE_CURVE('',#4228,#4230,#827,.F.); -#3418=EDGE_CURVE('',#4231,#4230,#828,.T.); -#3419=EDGE_CURVE('',#4231,#4229,#829,.F.); -#3420=EDGE_CURVE('',#4232,#4233,#830,.T.); -#3421=EDGE_CURVE('',#4233,#4205,#831,.T.); -#3422=EDGE_CURVE('',#4232,#4206,#832,.T.); -#3423=EDGE_CURVE('',#4234,#4208,#833,.T.); -#3424=EDGE_CURVE('',#4234,#4235,#834,.T.); -#3425=EDGE_CURVE('',#4236,#4235,#835,.T.); -#3426=EDGE_CURVE('',#4232,#4236,#836,.T.); -#3427=EDGE_CURVE('',#4237,#4238,#837,.T.); -#3428=EDGE_CURVE('',#4239,#4237,#838,.T.); -#3429=EDGE_CURVE('',#4239,#4207,#839,.T.); -#3430=EDGE_CURVE('',#4233,#4238,#840,.T.); -#3431=EDGE_CURVE('',#4235,#4237,#841,.T.); -#3432=EDGE_CURVE('',#4238,#4236,#842,.T.); -#3433=EDGE_CURVE('',#4239,#4234,#843,.T.); -#3434=EDGE_CURVE('',#4240,#4185,#844,.T.); -#3435=EDGE_CURVE('',#4240,#4241,#845,.T.); -#3436=EDGE_CURVE('',#4241,#4178,#846,.T.); -#3437=EDGE_CURVE('',#4225,#4231,#4658,.T.); -#3438=EDGE_CURVE('',#4230,#4226,#4659,.T.); -#3439=EDGE_CURVE('',#4242,#4193,#847,.T.); -#3440=EDGE_CURVE('',#4242,#4243,#848,.T.); -#3441=EDGE_CURVE('',#4243,#4186,#849,.T.); -#3442=EDGE_CURVE('',#4244,#4245,#850,.T.); -#3443=EDGE_CURVE('',#4246,#4245,#851,.T.); -#3444=EDGE_CURVE('',#4247,#4246,#852,.T.); -#3445=EDGE_CURVE('',#4244,#4247,#853,.T.); -#3446=EDGE_CURVE('',#4248,#4249,#854,.T.); -#3447=EDGE_CURVE('',#4248,#4250,#855,.T.); -#3448=EDGE_CURVE('',#4251,#4250,#856,.T.); -#3449=EDGE_CURVE('',#4249,#4251,#857,.T.); -#3450=EDGE_CURVE('',#4248,#4211,#858,.T.); -#3451=EDGE_CURVE('',#4249,#4212,#859,.T.); -#3452=EDGE_CURVE('',#4250,#4246,#860,.T.); -#3453=EDGE_CURVE('',#4245,#4251,#861,.T.); -#3454=EDGE_CURVE('',#4247,#4209,#862,.T.); -#3455=EDGE_CURVE('',#4244,#4210,#863,.T.); -#3456=EDGE_CURVE('',#4227,#4228,#4660,.T.); -#3457=EDGE_CURVE('',#4229,#4224,#4661,.T.); -#3458=EDGE_CURVE('',#4175,#4125,#864,.T.); -#3459=EDGE_CURVE('',#4196,#4127,#865,.T.); -#3460=EDGE_CURVE('',#4220,#4159,#4662,.T.); -#3461=EDGE_CURVE('',#4197,#4126,#866,.T.); -#3462=EDGE_CURVE('',#4174,#4124,#867,.T.); -#3463=EDGE_CURVE('',#4156,#4221,#4663,.T.); -#3464=EDGE_CURVE('',#4160,#4218,#4664,.T.); -#3465=EDGE_CURVE('',#4219,#4155,#4665,.T.); -#3466=EDGE_CURVE('',#4173,#4214,#868,.T.); -#3467=EDGE_CURVE('',#4198,#4216,#869,.T.); -#3468=EDGE_CURVE('',#4222,#4163,#4666,.T.); -#3469=EDGE_CURVE('',#4199,#4215,#870,.T.); -#3470=EDGE_CURVE('',#4172,#4213,#871,.T.); -#3471=EDGE_CURVE('',#4217,#4223,#4667,.T.); -#3472=EDGE_CURVE('',#4252,#4167,#872,.T.); -#3473=EDGE_CURVE('',#4253,#4252,#873,.T.); -#3474=EDGE_CURVE('',#4253,#4168,#874,.T.); -#3475=EDGE_CURVE('',#4254,#4166,#875,.T.); -#3476=EDGE_CURVE('',#4252,#4254,#876,.T.); -#3477=EDGE_CURVE('',#4255,#4165,#877,.T.); -#3478=EDGE_CURVE('',#4254,#4255,#878,.T.); -#3479=EDGE_CURVE('',#4255,#4151,#879,.T.); -#3480=EDGE_CURVE('',#4256,#4170,#880,.T.); -#3481=EDGE_CURVE('',#4150,#4256,#881,.T.); -#3482=EDGE_CURVE('',#4257,#4169,#882,.T.); -#3483=EDGE_CURVE('',#4256,#4257,#883,.T.); -#3484=EDGE_CURVE('',#4257,#4253,#884,.T.); -#3485=EDGE_CURVE('',#4258,#4202,#885,.T.); -#3486=EDGE_CURVE('',#4259,#4258,#886,.T.); -#3487=EDGE_CURVE('',#4259,#4203,#887,.T.); -#3488=EDGE_CURVE('',#4260,#4201,#888,.T.); -#3489=EDGE_CURVE('',#4258,#4260,#889,.T.); -#3490=EDGE_CURVE('',#4261,#4200,#890,.T.); -#3491=EDGE_CURVE('',#4260,#4261,#891,.T.); -#3492=EDGE_CURVE('',#4261,#4140,#892,.T.); -#3493=EDGE_CURVE('',#4262,#4164,#893,.T.); -#3494=EDGE_CURVE('',#4141,#4262,#894,.T.); -#3495=EDGE_CURVE('',#4263,#4204,#895,.T.); -#3496=EDGE_CURVE('',#4262,#4263,#896,.T.); -#3497=EDGE_CURVE('',#4263,#4259,#897,.T.); -#3498=EDGE_CURVE('',#4264,#4189,#898,.T.); -#3499=EDGE_CURVE('',#4264,#4265,#899,.T.); -#3500=EDGE_CURVE('',#4265,#4190,#900,.T.); -#3501=EDGE_CURVE('',#4265,#4266,#901,.T.); -#3502=EDGE_CURVE('',#4266,#4191,#902,.T.); -#3503=EDGE_CURVE('',#4266,#4267,#903,.T.); -#3504=EDGE_CURVE('',#4267,#4192,#904,.T.); -#3505=EDGE_CURVE('',#4267,#4242,#905,.T.); -#3506=EDGE_CURVE('',#4243,#4268,#906,.T.); -#3507=EDGE_CURVE('',#4268,#4187,#907,.T.); -#3508=EDGE_CURVE('',#4268,#4269,#908,.T.); -#3509=EDGE_CURVE('',#4269,#4188,#909,.T.); -#3510=EDGE_CURVE('',#4269,#4264,#910,.T.); -#3511=EDGE_CURVE('',#4270,#4179,#911,.T.); -#3512=EDGE_CURVE('',#4270,#4271,#912,.T.); -#3513=EDGE_CURVE('',#4271,#4180,#913,.T.); -#3514=EDGE_CURVE('',#4271,#4272,#914,.T.); -#3515=EDGE_CURVE('',#4272,#4181,#915,.T.); -#3516=EDGE_CURVE('',#4272,#4273,#916,.T.); -#3517=EDGE_CURVE('',#4273,#4182,#917,.T.); -#3518=EDGE_CURVE('',#4273,#4274,#918,.T.); -#3519=EDGE_CURVE('',#4274,#4183,#919,.T.); -#3520=EDGE_CURVE('',#4274,#4275,#920,.T.); -#3521=EDGE_CURVE('',#4275,#4184,#921,.T.); -#3522=EDGE_CURVE('',#4275,#4240,#922,.T.); -#3523=EDGE_CURVE('',#4241,#4270,#923,.T.); -#3524=EDGE_CURVE('',#4276,#4131,#924,.T.); -#3525=EDGE_CURVE('',#4276,#4277,#925,.T.); -#3526=EDGE_CURVE('',#4277,#4132,#926,.T.); -#3527=EDGE_CURVE('',#4278,#4136,#927,.T.); -#3528=EDGE_CURVE('',#4279,#4278,#928,.T.); -#3529=EDGE_CURVE('',#4279,#4133,#929,.T.); -#3530=EDGE_CURVE('',#4277,#4280,#930,.T.); -#3531=EDGE_CURVE('',#4280,#4137,#931,.T.); -#3532=EDGE_CURVE('',#4280,#4281,#932,.T.); -#3533=EDGE_CURVE('',#4281,#4138,#933,.T.); -#3534=EDGE_CURVE('',#4282,#4130,#934,.T.); -#3535=EDGE_CURVE('',#4282,#4283,#935,.T.); -#3536=EDGE_CURVE('',#4283,#4139,#936,.T.); -#3537=EDGE_CURVE('',#4281,#4276,#937,.T.); -#3538=EDGE_CURVE('',#4284,#4279,#938,.T.); -#3539=EDGE_CURVE('',#4284,#4134,#4668,.F.); -#3540=EDGE_CURVE('',#4135,#4285,#4669,.F.); -#3541=EDGE_CURVE('',#4278,#4285,#939,.T.); -#3542=EDGE_CURVE('',#4285,#4284,#940,.F.); -#3543=EDGE_CURVE('',#4129,#4286,#4670,.T.); -#3544=EDGE_CURVE('',#4286,#4282,#941,.T.); -#3545=EDGE_CURVE('',#4283,#4287,#942,.T.); -#3546=EDGE_CURVE('',#4287,#4128,#4671,.T.); -#3547=EDGE_CURVE('',#4286,#4287,#943,.F.); -#3548=EDGE_CURVE('',#4288,#4289,#68,.T.); -#3549=EDGE_CURVE('',#4290,#4288,#944,.T.); -#3550=EDGE_CURVE('',#4290,#4291,#69,.T.); -#3551=EDGE_CURVE('',#4291,#4289,#945,.T.); -#3552=EDGE_CURVE('',#4289,#4292,#70,.T.); -#3553=EDGE_CURVE('',#4291,#4293,#71,.T.); -#3554=EDGE_CURVE('',#4293,#4292,#946,.T.); -#3555=EDGE_CURVE('',#4292,#4294,#72,.T.); -#3556=EDGE_CURVE('',#4293,#4295,#73,.T.); -#3557=EDGE_CURVE('',#4295,#4294,#947,.T.); -#3558=EDGE_CURVE('',#4296,#4297,#74,.T.); -#3559=EDGE_CURVE('',#4298,#4296,#948,.T.); -#3560=EDGE_CURVE('',#4298,#4299,#75,.T.); -#3561=EDGE_CURVE('',#4299,#4297,#949,.T.); -#3562=EDGE_CURVE('',#4297,#4300,#76,.T.); -#3563=EDGE_CURVE('',#4299,#4301,#77,.T.); -#3564=EDGE_CURVE('',#4301,#4300,#950,.T.); -#3565=EDGE_CURVE('',#4300,#4296,#78,.T.); -#3566=EDGE_CURVE('',#4301,#4298,#79,.T.); -#3567=EDGE_CURVE('',#4294,#4288,#80,.T.); -#3568=EDGE_CURVE('',#4295,#4290,#81,.T.); -#3569=EDGE_CURVE('',#4302,#4303,#82,.T.); -#3570=EDGE_CURVE('',#4304,#4302,#951,.T.); -#3571=EDGE_CURVE('',#4304,#4305,#83,.T.); -#3572=EDGE_CURVE('',#4305,#4303,#952,.T.); -#3573=EDGE_CURVE('',#4303,#4306,#84,.T.); -#3574=EDGE_CURVE('',#4305,#4307,#85,.T.); -#3575=EDGE_CURVE('',#4307,#4306,#953,.T.); -#3576=EDGE_CURVE('',#4306,#4308,#86,.T.); -#3577=EDGE_CURVE('',#4307,#4309,#87,.T.); -#3578=EDGE_CURVE('',#4309,#4308,#954,.T.); -#3579=EDGE_CURVE('',#4308,#4302,#88,.T.); -#3580=EDGE_CURVE('',#4309,#4304,#89,.T.); -#3581=EDGE_CURVE('',#4310,#4311,#90,.T.); -#3582=EDGE_CURVE('',#4312,#4310,#955,.T.); -#3583=EDGE_CURVE('',#4312,#4313,#91,.T.); -#3584=EDGE_CURVE('',#4313,#4311,#956,.T.); -#3585=EDGE_CURVE('',#4311,#4314,#92,.T.); -#3586=EDGE_CURVE('',#4313,#4315,#93,.T.); -#3587=EDGE_CURVE('',#4315,#4314,#957,.T.); -#3588=EDGE_CURVE('',#4314,#4316,#94,.T.); -#3589=EDGE_CURVE('',#4315,#4317,#95,.T.); -#3590=EDGE_CURVE('',#4317,#4316,#958,.T.); -#3591=EDGE_CURVE('',#4318,#4319,#96,.T.); -#3592=EDGE_CURVE('',#4320,#4318,#959,.T.); -#3593=EDGE_CURVE('',#4320,#4321,#97,.T.); -#3594=EDGE_CURVE('',#4321,#4319,#960,.T.); -#3595=EDGE_CURVE('',#4319,#4322,#98,.T.); -#3596=EDGE_CURVE('',#4321,#4323,#99,.T.); -#3597=EDGE_CURVE('',#4323,#4322,#961,.T.); -#3598=EDGE_CURVE('',#4322,#4318,#100,.T.); -#3599=EDGE_CURVE('',#4323,#4320,#101,.T.); -#3600=EDGE_CURVE('',#4316,#4310,#102,.T.); -#3601=EDGE_CURVE('',#4317,#4312,#103,.T.); -#3602=EDGE_CURVE('',#4324,#4324,#104,.T.); -#3603=EDGE_CURVE('',#4325,#4325,#105,.T.); -#3604=EDGE_CURVE('',#4326,#4326,#106,.T.); -#3605=EDGE_CURVE('',#4327,#4327,#107,.T.); -#3606=EDGE_CURVE('',#4328,#4329,#108,.T.); -#3607=EDGE_CURVE('',#4330,#4328,#962,.T.); -#3608=EDGE_CURVE('',#4330,#4331,#109,.T.); -#3609=EDGE_CURVE('',#4331,#4329,#963,.T.); -#3610=EDGE_CURVE('',#4329,#4332,#110,.T.); -#3611=EDGE_CURVE('',#4331,#4333,#111,.T.); -#3612=EDGE_CURVE('',#4333,#4332,#964,.T.); -#3613=EDGE_CURVE('',#4332,#4334,#112,.T.); -#3614=EDGE_CURVE('',#4333,#4335,#113,.T.); -#3615=EDGE_CURVE('',#4335,#4334,#965,.T.); -#3616=EDGE_CURVE('',#4336,#4337,#114,.T.); -#3617=EDGE_CURVE('',#4338,#4336,#966,.T.); -#3618=EDGE_CURVE('',#4338,#4339,#115,.T.); -#3619=EDGE_CURVE('',#4339,#4337,#967,.T.); -#3620=EDGE_CURVE('',#4337,#4340,#116,.T.); -#3621=EDGE_CURVE('',#4339,#4341,#117,.T.); -#3622=EDGE_CURVE('',#4341,#4340,#968,.T.); -#3623=EDGE_CURVE('',#4340,#4336,#118,.T.); -#3624=EDGE_CURVE('',#4341,#4338,#119,.T.); -#3625=EDGE_CURVE('',#4334,#4328,#120,.T.); -#3626=EDGE_CURVE('',#4335,#4330,#121,.T.); -#3627=EDGE_CURVE('',#4342,#4343,#122,.T.); -#3628=EDGE_CURVE('',#4344,#4342,#969,.T.); -#3629=EDGE_CURVE('',#4344,#4345,#123,.T.); -#3630=EDGE_CURVE('',#4345,#4343,#970,.T.); -#3631=EDGE_CURVE('',#4343,#4346,#124,.T.); -#3632=EDGE_CURVE('',#4345,#4347,#125,.T.); -#3633=EDGE_CURVE('',#4347,#4346,#971,.T.); -#3634=EDGE_CURVE('',#4346,#4348,#126,.T.); -#3635=EDGE_CURVE('',#4347,#4349,#127,.T.); -#3636=EDGE_CURVE('',#4349,#4348,#972,.T.); -#3637=EDGE_CURVE('',#4350,#4351,#128,.T.); -#3638=EDGE_CURVE('',#4352,#4350,#973,.T.); -#3639=EDGE_CURVE('',#4352,#4353,#129,.T.); -#3640=EDGE_CURVE('',#4353,#4351,#974,.T.); -#3641=EDGE_CURVE('',#4351,#4350,#130,.T.); -#3642=EDGE_CURVE('',#4353,#4352,#131,.T.); -#3643=EDGE_CURVE('',#4348,#4342,#132,.T.); -#3644=EDGE_CURVE('',#4349,#4344,#133,.T.); -#3645=EDGE_CURVE('',#4354,#4355,#134,.T.); -#3646=EDGE_CURVE('',#4356,#4354,#975,.T.); -#3647=EDGE_CURVE('',#4356,#4357,#135,.T.); -#3648=EDGE_CURVE('',#4357,#4355,#976,.T.); -#3649=EDGE_CURVE('',#4355,#4358,#136,.T.); -#3650=EDGE_CURVE('',#4357,#4359,#137,.T.); -#3651=EDGE_CURVE('',#4359,#4358,#977,.T.); -#3652=EDGE_CURVE('',#4358,#4360,#138,.T.); -#3653=EDGE_CURVE('',#4359,#4361,#139,.T.); -#3654=EDGE_CURVE('',#4361,#4360,#978,.T.); -#3655=EDGE_CURVE('',#4360,#4354,#140,.T.); -#3656=EDGE_CURVE('',#4361,#4356,#141,.T.); -#3657=EDGE_CURVE('',#4362,#4363,#142,.T.); -#3658=EDGE_CURVE('',#4364,#4362,#979,.T.); -#3659=EDGE_CURVE('',#4364,#4365,#143,.T.); -#3660=EDGE_CURVE('',#4365,#4363,#980,.T.); -#3661=EDGE_CURVE('',#4363,#4366,#144,.T.); -#3662=EDGE_CURVE('',#4365,#4367,#145,.T.); -#3663=EDGE_CURVE('',#4367,#4366,#981,.T.); -#3664=EDGE_CURVE('',#4366,#4368,#146,.T.); -#3665=EDGE_CURVE('',#4367,#4369,#147,.T.); -#3666=EDGE_CURVE('',#4369,#4368,#982,.T.); -#3667=EDGE_CURVE('',#4368,#4362,#148,.T.); -#3668=EDGE_CURVE('',#4369,#4364,#149,.T.); -#3669=EDGE_CURVE('',#4370,#4371,#150,.T.); -#3670=EDGE_CURVE('',#4372,#4370,#983,.T.); -#3671=EDGE_CURVE('',#4372,#4373,#151,.T.); -#3672=EDGE_CURVE('',#4373,#4371,#984,.T.); -#3673=EDGE_CURVE('',#4371,#4374,#152,.T.); -#3674=EDGE_CURVE('',#4373,#4375,#153,.T.); -#3675=EDGE_CURVE('',#4375,#4374,#985,.T.); -#3676=EDGE_CURVE('',#4374,#4376,#154,.T.); -#3677=EDGE_CURVE('',#4375,#4377,#155,.T.); -#3678=EDGE_CURVE('',#4377,#4376,#986,.T.); -#3679=EDGE_CURVE('',#4376,#4378,#156,.T.); -#3680=EDGE_CURVE('',#4377,#4379,#157,.T.); -#3681=EDGE_CURVE('',#4379,#4378,#987,.T.); -#3682=EDGE_CURVE('',#4378,#4380,#158,.T.); -#3683=EDGE_CURVE('',#4379,#4381,#159,.T.); -#3684=EDGE_CURVE('',#4381,#4380,#988,.T.); -#3685=EDGE_CURVE('',#4380,#4382,#160,.T.); -#3686=EDGE_CURVE('',#4381,#4383,#161,.T.); -#3687=EDGE_CURVE('',#4383,#4382,#989,.T.); -#3688=EDGE_CURVE('',#4382,#4384,#162,.T.); -#3689=EDGE_CURVE('',#4383,#4385,#163,.T.); -#3690=EDGE_CURVE('',#4385,#4384,#990,.T.); -#3691=EDGE_CURVE('',#4384,#4370,#164,.T.); -#3692=EDGE_CURVE('',#4385,#4372,#165,.T.); -#3693=EDGE_CURVE('',#4386,#4386,#166,.T.); -#3694=EDGE_CURVE('',#4387,#4387,#167,.T.); -#3695=EDGE_CURVE('',#4388,#4388,#168,.T.); -#3696=EDGE_CURVE('',#4389,#4389,#169,.T.); -#3697=EDGE_CURVE('',#4390,#4391,#170,.T.); -#3698=EDGE_CURVE('',#4392,#4390,#991,.T.); -#3699=EDGE_CURVE('',#4392,#4393,#171,.T.); -#3700=EDGE_CURVE('',#4393,#4391,#992,.T.); -#3701=EDGE_CURVE('',#4391,#4394,#172,.T.); -#3702=EDGE_CURVE('',#4393,#4395,#173,.T.); -#3703=EDGE_CURVE('',#4395,#4394,#993,.T.); -#3704=EDGE_CURVE('',#4394,#4396,#174,.T.); -#3705=EDGE_CURVE('',#4395,#4397,#175,.T.); -#3706=EDGE_CURVE('',#4397,#4396,#994,.T.); -#3707=EDGE_CURVE('',#4396,#4398,#176,.T.); -#3708=EDGE_CURVE('',#4397,#4399,#177,.T.); -#3709=EDGE_CURVE('',#4399,#4398,#995,.T.); -#3710=EDGE_CURVE('',#4398,#4390,#178,.T.); -#3711=EDGE_CURVE('',#4399,#4392,#179,.T.); -#3712=EDGE_CURVE('',#4400,#4401,#180,.T.); -#3713=EDGE_CURVE('',#4402,#4400,#996,.T.); -#3714=EDGE_CURVE('',#4402,#4403,#181,.T.); -#3715=EDGE_CURVE('',#4403,#4401,#997,.T.); -#3716=EDGE_CURVE('',#4401,#4404,#182,.T.); -#3717=EDGE_CURVE('',#4403,#4405,#183,.T.); -#3718=EDGE_CURVE('',#4405,#4404,#998,.T.); -#3719=EDGE_CURVE('',#4404,#4406,#184,.T.); -#3720=EDGE_CURVE('',#4405,#4407,#185,.T.); -#3721=EDGE_CURVE('',#4407,#4406,#999,.T.); -#3722=EDGE_CURVE('',#4406,#4408,#186,.T.); -#3723=EDGE_CURVE('',#4407,#4409,#187,.T.); -#3724=EDGE_CURVE('',#4409,#4408,#1000,.T.); -#3725=EDGE_CURVE('',#4408,#4410,#188,.T.); -#3726=EDGE_CURVE('',#4409,#4411,#189,.T.); -#3727=EDGE_CURVE('',#4411,#4410,#1001,.T.); -#3728=EDGE_CURVE('',#4410,#4400,#190,.T.); -#3729=EDGE_CURVE('',#4411,#4402,#191,.T.); -#3730=EDGE_CURVE('',#4412,#4413,#192,.T.); -#3731=EDGE_CURVE('',#4414,#4412,#1002,.T.); -#3732=EDGE_CURVE('',#4414,#4415,#193,.T.); -#3733=EDGE_CURVE('',#4415,#4413,#1003,.T.); -#3734=EDGE_CURVE('',#4413,#4416,#194,.T.); -#3735=EDGE_CURVE('',#4415,#4417,#195,.T.); -#3736=EDGE_CURVE('',#4417,#4416,#1004,.T.); -#3737=EDGE_CURVE('',#4416,#4418,#196,.T.); -#3738=EDGE_CURVE('',#4417,#4419,#197,.T.); -#3739=EDGE_CURVE('',#4419,#4418,#1005,.T.); -#3740=EDGE_CURVE('',#4418,#4420,#198,.T.); -#3741=EDGE_CURVE('',#4419,#4421,#199,.T.); -#3742=EDGE_CURVE('',#4421,#4420,#1006,.T.); -#3743=EDGE_CURVE('',#4420,#4422,#200,.T.); -#3744=EDGE_CURVE('',#4421,#4423,#201,.T.); -#3745=EDGE_CURVE('',#4423,#4422,#1007,.T.); -#3746=EDGE_CURVE('',#4422,#4424,#202,.T.); -#3747=EDGE_CURVE('',#4423,#4425,#203,.T.); -#3748=EDGE_CURVE('',#4425,#4424,#1008,.T.); -#3749=EDGE_CURVE('',#4424,#4426,#204,.T.); -#3750=EDGE_CURVE('',#4425,#4427,#205,.T.); -#3751=EDGE_CURVE('',#4427,#4426,#1009,.T.); -#3752=EDGE_CURVE('',#4426,#4428,#206,.T.); -#3753=EDGE_CURVE('',#4427,#4429,#207,.T.); -#3754=EDGE_CURVE('',#4429,#4428,#1010,.T.); -#3755=EDGE_CURVE('',#4428,#4430,#208,.T.); -#3756=EDGE_CURVE('',#4429,#4431,#209,.T.); -#3757=EDGE_CURVE('',#4431,#4430,#1011,.T.); -#3758=EDGE_CURVE('',#4430,#4432,#210,.T.); -#3759=EDGE_CURVE('',#4431,#4433,#211,.T.); -#3760=EDGE_CURVE('',#4433,#4432,#1012,.T.); -#3761=EDGE_CURVE('',#4432,#4434,#212,.T.); -#3762=EDGE_CURVE('',#4433,#4435,#213,.T.); -#3763=EDGE_CURVE('',#4435,#4434,#1013,.T.); -#3764=EDGE_CURVE('',#4434,#4436,#214,.T.); -#3765=EDGE_CURVE('',#4435,#4437,#215,.T.); -#3766=EDGE_CURVE('',#4437,#4436,#1014,.T.); -#3767=EDGE_CURVE('',#4436,#4412,#216,.T.); -#3768=EDGE_CURVE('',#4437,#4414,#217,.T.); -#3769=EDGE_CURVE('',#4438,#4439,#218,.T.); -#3770=EDGE_CURVE('',#4440,#4438,#1015,.T.); -#3771=EDGE_CURVE('',#4440,#4441,#219,.T.); -#3772=EDGE_CURVE('',#4441,#4439,#1016,.T.); -#3773=EDGE_CURVE('',#4439,#4442,#220,.T.); -#3774=EDGE_CURVE('',#4441,#4443,#221,.T.); -#3775=EDGE_CURVE('',#4443,#4442,#1017,.T.); -#3776=EDGE_CURVE('',#4442,#4444,#222,.T.); -#3777=EDGE_CURVE('',#4443,#4445,#223,.T.); -#3778=EDGE_CURVE('',#4445,#4444,#1018,.T.); -#3779=EDGE_CURVE('',#4444,#4446,#224,.T.); -#3780=EDGE_CURVE('',#4445,#4447,#225,.T.); -#3781=EDGE_CURVE('',#4447,#4446,#1019,.T.); -#3782=EDGE_CURVE('',#4446,#4448,#226,.T.); -#3783=EDGE_CURVE('',#4447,#4449,#227,.T.); -#3784=EDGE_CURVE('',#4449,#4448,#1020,.T.); -#3785=EDGE_CURVE('',#4448,#4438,#228,.T.); -#3786=EDGE_CURVE('',#4449,#4440,#229,.T.); -#3787=EDGE_CURVE('',#4450,#4451,#230,.T.); -#3788=EDGE_CURVE('',#4452,#4450,#1021,.T.); -#3789=EDGE_CURVE('',#4452,#4453,#231,.T.); -#3790=EDGE_CURVE('',#4453,#4451,#1022,.T.); -#3791=EDGE_CURVE('',#4451,#4454,#232,.T.); -#3792=EDGE_CURVE('',#4453,#4455,#233,.T.); -#3793=EDGE_CURVE('',#4455,#4454,#1023,.T.); -#3794=EDGE_CURVE('',#4454,#4456,#234,.T.); -#3795=EDGE_CURVE('',#4455,#4457,#235,.T.); -#3796=EDGE_CURVE('',#4457,#4456,#1024,.T.); -#3797=EDGE_CURVE('',#4456,#4458,#236,.T.); -#3798=EDGE_CURVE('',#4457,#4459,#237,.T.); -#3799=EDGE_CURVE('',#4459,#4458,#1025,.T.); -#3800=EDGE_CURVE('',#4458,#4460,#238,.T.); -#3801=EDGE_CURVE('',#4459,#4461,#239,.T.); -#3802=EDGE_CURVE('',#4461,#4460,#1026,.T.); -#3803=EDGE_CURVE('',#4460,#4462,#240,.T.); -#3804=EDGE_CURVE('',#4461,#4463,#241,.T.); -#3805=EDGE_CURVE('',#4463,#4462,#1027,.T.); -#3806=EDGE_CURVE('',#4462,#4464,#242,.T.); -#3807=EDGE_CURVE('',#4463,#4465,#243,.T.); -#3808=EDGE_CURVE('',#4465,#4464,#1028,.T.); -#3809=EDGE_CURVE('',#4464,#4450,#244,.T.); -#3810=EDGE_CURVE('',#4465,#4452,#245,.T.); -#3811=EDGE_CURVE('',#4466,#4467,#246,.T.); -#3812=EDGE_CURVE('',#4468,#4466,#1029,.T.); -#3813=EDGE_CURVE('',#4468,#4469,#247,.T.); -#3814=EDGE_CURVE('',#4469,#4467,#1030,.T.); -#3815=EDGE_CURVE('',#4467,#4470,#248,.T.); -#3816=EDGE_CURVE('',#4469,#4471,#249,.T.); -#3817=EDGE_CURVE('',#4471,#4470,#1031,.T.); -#3818=EDGE_CURVE('',#4470,#4472,#250,.T.); -#3819=EDGE_CURVE('',#4471,#4473,#251,.T.); -#3820=EDGE_CURVE('',#4473,#4472,#1032,.T.); -#3821=EDGE_CURVE('',#4472,#4466,#252,.T.); -#3822=EDGE_CURVE('',#4473,#4468,#253,.T.); -#3823=EDGE_CURVE('',#4474,#4475,#254,.T.); -#3824=EDGE_CURVE('',#4476,#4474,#1033,.T.); -#3825=EDGE_CURVE('',#4476,#4477,#255,.T.); -#3826=EDGE_CURVE('',#4477,#4475,#1034,.T.); -#3827=EDGE_CURVE('',#4475,#4478,#256,.T.); -#3828=EDGE_CURVE('',#4477,#4479,#257,.T.); -#3829=EDGE_CURVE('',#4479,#4478,#1035,.T.); -#3830=EDGE_CURVE('',#4478,#4480,#258,.T.); -#3831=EDGE_CURVE('',#4479,#4481,#259,.T.); -#3832=EDGE_CURVE('',#4481,#4480,#1036,.T.); -#3833=EDGE_CURVE('',#4480,#4482,#260,.T.); -#3834=EDGE_CURVE('',#4481,#4483,#261,.T.); -#3835=EDGE_CURVE('',#4483,#4482,#1037,.T.); -#3836=EDGE_CURVE('',#4482,#4484,#262,.T.); -#3837=EDGE_CURVE('',#4483,#4485,#263,.T.); -#3838=EDGE_CURVE('',#4485,#4484,#1038,.T.); -#3839=EDGE_CURVE('',#4484,#4474,#264,.T.); -#3840=EDGE_CURVE('',#4485,#4476,#265,.T.); -#3841=EDGE_CURVE('',#4486,#4487,#266,.T.); -#3842=EDGE_CURVE('',#4488,#4486,#1039,.T.); -#3843=EDGE_CURVE('',#4488,#4489,#267,.T.); -#3844=EDGE_CURVE('',#4489,#4487,#1040,.T.); -#3845=EDGE_CURVE('',#4487,#4490,#268,.T.); -#3846=EDGE_CURVE('',#4489,#4491,#269,.T.); -#3847=EDGE_CURVE('',#4491,#4490,#1041,.T.); -#3848=EDGE_CURVE('',#4490,#4492,#270,.T.); -#3849=EDGE_CURVE('',#4491,#4493,#271,.T.); -#3850=EDGE_CURVE('',#4493,#4492,#1042,.T.); -#3851=EDGE_CURVE('',#4492,#4494,#272,.T.); -#3852=EDGE_CURVE('',#4493,#4495,#273,.T.); -#3853=EDGE_CURVE('',#4495,#4494,#1043,.T.); -#3854=EDGE_CURVE('',#4494,#4496,#274,.T.); -#3855=EDGE_CURVE('',#4495,#4497,#275,.T.); -#3856=EDGE_CURVE('',#4497,#4496,#1044,.T.); -#3857=EDGE_CURVE('',#4496,#4498,#276,.T.); -#3858=EDGE_CURVE('',#4497,#4499,#277,.T.); -#3859=EDGE_CURVE('',#4499,#4498,#1045,.T.); -#3860=EDGE_CURVE('',#4498,#4500,#278,.T.); -#3861=EDGE_CURVE('',#4499,#4501,#279,.T.); -#3862=EDGE_CURVE('',#4501,#4500,#1046,.T.); -#3863=EDGE_CURVE('',#4500,#4502,#280,.T.); -#3864=EDGE_CURVE('',#4501,#4503,#281,.T.); -#3865=EDGE_CURVE('',#4503,#4502,#1047,.T.); -#3866=EDGE_CURVE('',#4502,#4504,#282,.T.); -#3867=EDGE_CURVE('',#4503,#4505,#283,.T.); -#3868=EDGE_CURVE('',#4505,#4504,#1048,.T.); -#3869=EDGE_CURVE('',#4504,#4506,#284,.T.); -#3870=EDGE_CURVE('',#4505,#4507,#285,.T.); -#3871=EDGE_CURVE('',#4507,#4506,#1049,.T.); -#3872=EDGE_CURVE('',#4506,#4508,#286,.T.); -#3873=EDGE_CURVE('',#4507,#4509,#287,.T.); -#3874=EDGE_CURVE('',#4509,#4508,#1050,.T.); -#3875=EDGE_CURVE('',#4508,#4510,#288,.T.); -#3876=EDGE_CURVE('',#4509,#4511,#289,.T.); -#3877=EDGE_CURVE('',#4511,#4510,#1051,.T.); -#3878=EDGE_CURVE('',#4510,#4486,#290,.T.); -#3879=EDGE_CURVE('',#4511,#4488,#291,.T.); -#3880=EDGE_CURVE('',#4512,#4513,#292,.T.); -#3881=EDGE_CURVE('',#4514,#4512,#1052,.T.); -#3882=EDGE_CURVE('',#4514,#4515,#293,.T.); -#3883=EDGE_CURVE('',#4515,#4513,#1053,.T.); -#3884=EDGE_CURVE('',#4513,#4516,#294,.T.); -#3885=EDGE_CURVE('',#4515,#4517,#295,.T.); -#3886=EDGE_CURVE('',#4517,#4516,#1054,.T.); -#3887=EDGE_CURVE('',#4516,#4518,#296,.T.); -#3888=EDGE_CURVE('',#4517,#4519,#297,.T.); -#3889=EDGE_CURVE('',#4519,#4518,#1055,.T.); -#3890=EDGE_CURVE('',#4518,#4520,#298,.T.); -#3891=EDGE_CURVE('',#4519,#4521,#299,.T.); -#3892=EDGE_CURVE('',#4521,#4520,#1056,.T.); -#3893=EDGE_CURVE('',#4520,#4522,#300,.T.); -#3894=EDGE_CURVE('',#4521,#4523,#301,.T.); -#3895=EDGE_CURVE('',#4523,#4522,#1057,.T.); -#3896=EDGE_CURVE('',#4522,#4524,#302,.T.); -#3897=EDGE_CURVE('',#4523,#4525,#303,.T.); -#3898=EDGE_CURVE('',#4525,#4524,#1058,.T.); -#3899=EDGE_CURVE('',#4524,#4526,#304,.T.); -#3900=EDGE_CURVE('',#4525,#4527,#305,.T.); -#3901=EDGE_CURVE('',#4527,#4526,#1059,.T.); -#3902=EDGE_CURVE('',#4526,#4528,#306,.T.); -#3903=EDGE_CURVE('',#4527,#4529,#307,.T.); -#3904=EDGE_CURVE('',#4529,#4528,#1060,.T.); -#3905=EDGE_CURVE('',#4528,#4530,#308,.T.); -#3906=EDGE_CURVE('',#4529,#4531,#309,.T.); -#3907=EDGE_CURVE('',#4531,#4530,#1061,.T.); -#3908=EDGE_CURVE('',#4530,#4532,#310,.T.); -#3909=EDGE_CURVE('',#4531,#4533,#311,.T.); -#3910=EDGE_CURVE('',#4533,#4532,#1062,.T.); -#3911=EDGE_CURVE('',#4532,#4534,#312,.T.); -#3912=EDGE_CURVE('',#4533,#4535,#313,.T.); -#3913=EDGE_CURVE('',#4535,#4534,#1063,.T.); -#3914=EDGE_CURVE('',#4534,#4536,#314,.T.); -#3915=EDGE_CURVE('',#4535,#4537,#315,.T.); -#3916=EDGE_CURVE('',#4537,#4536,#1064,.T.); -#3917=EDGE_CURVE('',#4536,#4512,#316,.T.); -#3918=EDGE_CURVE('',#4537,#4514,#317,.T.); -#3919=EDGE_CURVE('',#4538,#4539,#318,.T.); -#3920=EDGE_CURVE('',#4540,#4538,#1065,.T.); -#3921=EDGE_CURVE('',#4540,#4541,#319,.T.); -#3922=EDGE_CURVE('',#4541,#4539,#1066,.T.); -#3923=EDGE_CURVE('',#4539,#4542,#320,.T.); -#3924=EDGE_CURVE('',#4541,#4543,#321,.T.); -#3925=EDGE_CURVE('',#4543,#4542,#1067,.T.); -#3926=EDGE_CURVE('',#4542,#4544,#322,.T.); -#3927=EDGE_CURVE('',#4543,#4545,#323,.T.); -#3928=EDGE_CURVE('',#4545,#4544,#1068,.T.); -#3929=EDGE_CURVE('',#4544,#4546,#324,.T.); -#3930=EDGE_CURVE('',#4545,#4547,#325,.T.); -#3931=EDGE_CURVE('',#4547,#4546,#1069,.T.); -#3932=EDGE_CURVE('',#4546,#4548,#326,.T.); -#3933=EDGE_CURVE('',#4547,#4549,#327,.T.); -#3934=EDGE_CURVE('',#4549,#4548,#1070,.T.); -#3935=EDGE_CURVE('',#4548,#4550,#328,.T.); -#3936=EDGE_CURVE('',#4549,#4551,#329,.T.); -#3937=EDGE_CURVE('',#4551,#4550,#1071,.T.); -#3938=EDGE_CURVE('',#4550,#4538,#330,.T.); -#3939=EDGE_CURVE('',#4551,#4540,#331,.T.); -#3940=EDGE_CURVE('',#4552,#4553,#1072,.F.); -#3941=EDGE_CURVE('',#4553,#4554,#1073,.F.); -#3942=EDGE_CURVE('',#4554,#4552,#4672,.F.); -#3943=EDGE_CURVE('',#4555,#4556,#4673,.F.); -#3944=EDGE_CURVE('',#4555,#4557,#332,.F.); -#3945=EDGE_CURVE('',#4557,#4558,#333,.T.); -#3946=EDGE_CURVE('',#4559,#4558,#4674,.T.); -#3947=EDGE_CURVE('',#4559,#4560,#334,.T.); -#3948=EDGE_CURVE('',#4560,#4561,#335,.T.); -#3949=EDGE_CURVE('',#4562,#4561,#4675,.F.); -#3950=EDGE_CURVE('',#4562,#4563,#336,.T.); -#3951=EDGE_CURVE('',#4563,#4564,#337,.T.); -#3952=EDGE_CURVE('',#4564,#4556,#338,.T.); -#3953=EDGE_CURVE('',#4565,#4566,#339,.T.); -#3954=EDGE_CURVE('',#4566,#4567,#340,.T.); -#3955=EDGE_CURVE('',#4568,#4567,#4676,.F.); -#3956=EDGE_CURVE('',#4568,#4569,#341,.T.); -#3957=EDGE_CURVE('',#4569,#4570,#342,.T.); -#3958=EDGE_CURVE('',#4570,#4552,#343,.T.); -#3959=EDGE_CURVE('',#4554,#4571,#344,.F.); -#3960=EDGE_CURVE('',#4571,#4572,#345,.T.); -#3961=EDGE_CURVE('',#4565,#4572,#4677,.T.); -#3962=EDGE_CURVE('',#4561,#4573,#1074,.F.); -#3963=EDGE_CURVE('',#4573,#4562,#1075,.F.); -#3964=EDGE_CURVE('',#4574,#4575,#1076,.T.); -#3965=EDGE_CURVE('',#4575,#4560,#1077,.F.); -#3966=EDGE_CURVE('',#4559,#4574,#1078,.F.); -#3967=EDGE_CURVE('',#4557,#4576,#1079,.F.); -#3968=EDGE_CURVE('',#4576,#4577,#1080,.T.); -#3969=EDGE_CURVE('',#4577,#4558,#1081,.F.); -#3970=EDGE_CURVE('',#4571,#4578,#1082,.F.); -#3971=EDGE_CURVE('',#4578,#4579,#1083,.T.); -#3972=EDGE_CURVE('',#4579,#4572,#1084,.F.); -#3973=EDGE_CURVE('',#4580,#4581,#1085,.T.); -#3974=EDGE_CURVE('',#4581,#4566,#1086,.F.); -#3975=EDGE_CURVE('',#4565,#4580,#1087,.F.); -#3976=EDGE_CURVE('',#4567,#4582,#1088,.F.); -#3977=EDGE_CURVE('',#4582,#4568,#1089,.F.); -#3978=EDGE_CURVE('',#4572,#4559,#4678,.T.); -#3979=EDGE_CURVE('',#4558,#4565,#4679,.T.); -#3980=EDGE_CURVE('',#4583,#4583,#4680,.F.); -#3981=EDGE_CURVE('',#4556,#4584,#1090,.F.); -#3982=EDGE_CURVE('',#4584,#4555,#1091,.F.); -#3983=EDGE_CURVE('',#4585,#4585,#4681,.T.); -#3984=EDGE_CURVE('',#4586,#4577,#1092,.F.); -#3985=EDGE_CURVE('',#4587,#4586,#1093,.F.); -#3986=EDGE_CURVE('',#4574,#4587,#1094,.F.); -#3987=EDGE_CURVE('',#4588,#4579,#1095,.F.); -#3988=EDGE_CURVE('',#4589,#4588,#1096,.F.); -#3989=EDGE_CURVE('',#4580,#4589,#1097,.F.); -#3990=EDGE_CURVE('',#4578,#4590,#1098,.F.); -#3991=EDGE_CURVE('',#4590,#4591,#346,.T.); -#3992=EDGE_CURVE('',#4591,#4592,#347,.T.); -#3993=EDGE_CURVE('',#4588,#4592,#1099,.T.); -#3994=EDGE_CURVE('',#4593,#4594,#348,.T.); -#3995=EDGE_CURVE('',#4594,#4581,#1100,.F.); -#3996=EDGE_CURVE('',#4589,#4595,#1101,.T.); -#3997=EDGE_CURVE('',#4595,#4593,#349,.T.); -#3998=EDGE_CURVE('',#4592,#4595,#350,.T.); -#3999=EDGE_CURVE('',#4596,#4597,#351,.T.); -#4000=EDGE_CURVE('',#4597,#4598,#352,.T.); -#4001=EDGE_CURVE('',#4598,#4575,#1102,.F.); -#4002=EDGE_CURVE('',#4587,#4596,#1103,.T.); -#4003=EDGE_CURVE('',#4576,#4599,#1104,.F.); -#4004=EDGE_CURVE('',#4599,#4600,#353,.T.); -#4005=EDGE_CURVE('',#4600,#4601,#354,.T.); -#4006=EDGE_CURVE('',#4586,#4601,#1105,.T.); -#4007=EDGE_CURVE('',#4601,#4596,#355,.T.); -#4008=EDGE_CURVE('',#4573,#4575,#30,.T.); -#4009=EDGE_CURVE('',#4562,#4598,#4682,.T.); -#4010=EDGE_CURVE('',#4563,#4597,#356,.F.); -#4011=EDGE_CURVE('',#4564,#4600,#357,.F.); -#4012=EDGE_CURVE('',#4556,#4599,#4683,.T.); -#4013=EDGE_CURVE('',#4584,#4576,#31,.T.); -#4014=EDGE_CURVE('',#4578,#4553,#32,.F.); -#4015=EDGE_CURVE('',#4590,#4552,#4684,.T.); -#4016=EDGE_CURVE('',#4591,#4570,#358,.T.); -#4017=EDGE_CURVE('',#4593,#4569,#359,.T.); -#4018=EDGE_CURVE('',#4594,#4568,#4685,.T.); -#4019=EDGE_CURVE('',#4581,#4582,#33,.F.); -#4020=EDGE_CURVE('',#4602,#4603,#34,.T.); -#4021=EDGE_CURVE('',#4604,#4603,#1106,.F.); -#4022=EDGE_CURVE('',#4605,#4604,#360,.F.); -#4023=EDGE_CURVE('',#4602,#4605,#1107,.F.); -#4024=EDGE_CURVE('',#4606,#4607,#4686,.T.); -#4025=EDGE_CURVE('',#4603,#4607,#1108,.F.); -#4026=EDGE_CURVE('',#4606,#4602,#1109,.F.); -#4027=EDGE_CURVE('',#4608,#4609,#361,.F.); -#4028=EDGE_CURVE('',#4607,#4609,#362,.T.); -#4029=EDGE_CURVE('',#4608,#4606,#363,.T.); -#4030=EDGE_CURVE('',#4610,#4611,#364,.T.); -#4031=EDGE_CURVE('',#4609,#4610,#365,.T.); -#4032=EDGE_CURVE('',#4612,#4608,#366,.T.); -#4033=EDGE_CURVE('',#4612,#4613,#367,.F.); -#4034=EDGE_CURVE('',#4611,#4613,#368,.T.); -#4035=EDGE_CURVE('',#4613,#4614,#369,.T.); -#4036=EDGE_CURVE('',#4615,#4612,#370,.T.); -#4037=EDGE_CURVE('',#4615,#4614,#4687,.T.); -#4038=EDGE_CURVE('',#4616,#4617,#35,.T.); -#4039=EDGE_CURVE('',#4614,#4617,#1110,.F.); -#4040=EDGE_CURVE('',#4616,#4615,#1111,.F.); -#4041=EDGE_CURVE('',#4618,#4619,#371,.T.); -#4042=EDGE_CURVE('',#4617,#4618,#1112,.F.); -#4043=EDGE_CURVE('',#4619,#4616,#1113,.F.); -#4044=EDGE_CURVE('',#4620,#4621,#372,.T.); -#4045=EDGE_CURVE('',#4622,#4620,#1114,.F.); -#4046=EDGE_CURVE('',#4622,#4623,#36,.F.); -#4047=EDGE_CURVE('',#4621,#4623,#1115,.F.); -#4048=EDGE_CURVE('',#4624,#4625,#4688,.T.); -#4049=EDGE_CURVE('',#4623,#4625,#1116,.F.); -#4050=EDGE_CURVE('',#4624,#4622,#1117,.F.); -#4051=EDGE_CURVE('',#4626,#4627,#373,.F.); -#4052=EDGE_CURVE('',#4628,#4626,#1118,.F.); -#4053=EDGE_CURVE('',#4629,#4628,#37,.F.); -#4054=EDGE_CURVE('',#4627,#4629,#1119,.F.); -#4055=EDGE_CURVE('',#4630,#4631,#374,.T.); -#4056=EDGE_CURVE('',#4625,#4631,#375,.T.); -#4057=EDGE_CURVE('',#4630,#4624,#376,.T.); -#4058=EDGE_CURVE('',#4632,#4628,#1120,.F.); -#4059=EDGE_CURVE('',#4633,#4632,#4689,.T.); -#4060=EDGE_CURVE('',#4629,#4633,#1121,.F.); -#4061=EDGE_CURVE('',#4634,#4635,#377,.T.); -#4062=EDGE_CURVE('',#4631,#4635,#378,.T.); -#4063=EDGE_CURVE('',#4636,#4630,#379,.T.); -#4064=EDGE_CURVE('',#4637,#4636,#380,.T.); -#4065=EDGE_CURVE('',#4634,#4637,#381,.T.); -#4066=EDGE_CURVE('',#4635,#4632,#382,.T.); -#4067=EDGE_CURVE('',#4633,#4634,#383,.T.); -#4068=EDGE_CURVE('',#4638,#4639,#1122,.F.); -#4069=EDGE_CURVE('',#4640,#4638,#1123,.F.); -#4070=EDGE_CURVE('',#4641,#4640,#1124,.F.); -#4071=EDGE_CURVE('',#4642,#4641,#1125,.F.); -#4072=EDGE_CURVE('',#4643,#4642,#1126,.F.); -#4073=EDGE_CURVE('',#4644,#4643,#4690,.T.); -#4074=EDGE_CURVE('',#4645,#4644,#1127,.F.); -#4075=EDGE_CURVE('',#4646,#4645,#1128,.F.); -#4076=EDGE_CURVE('',#4647,#4646,#1129,.F.); -#4077=EDGE_CURVE('',#4648,#4647,#1130,.F.); -#4078=EDGE_CURVE('',#4649,#4648,#1131,.F.); -#4079=EDGE_CURVE('',#4639,#4649,#4691,.T.); -#4080=EDGE_CURVE('',#4648,#4622,#1132,.T.); -#4081=EDGE_CURVE('',#4649,#4620,#384,.T.); -#4082=EDGE_CURVE('',#4627,#4644,#385,.T.); -#4083=EDGE_CURVE('',#4629,#4645,#1133,.T.); -#4084=EDGE_CURVE('',#4604,#4639,#386,.T.); -#4085=EDGE_CURVE('',#4603,#4638,#1134,.T.); -#4086=EDGE_CURVE('',#4642,#4617,#1135,.T.); -#4087=EDGE_CURVE('',#4643,#4618,#387,.T.); -#4088=EDGE_CURVE('',#4641,#4611,#1136,.T.); -#4089=EDGE_CURVE('',#4640,#4610,#1137,.T.); -#4090=EDGE_CURVE('',#4646,#4637,#1138,.T.); -#4091=EDGE_CURVE('',#4647,#4636,#1139,.T.); -#4092=EDGE_CURVE('',#4625,#4621,#4692,.F.); -#4093=EDGE_CURVE('',#4626,#4632,#4693,.F.); -#4094=EDGE_CURVE('',#4649,#4644,#4694,.T.); -#4095=EDGE_CURVE('',#4605,#4606,#4695,.F.); -#4096=EDGE_CURVE('',#4643,#4639,#4696,.T.); -#4097=EDGE_CURVE('',#4615,#4619,#4697,.F.); -#4098=EDGE_CURVE('',#4650,#4650,#4698,.F.); -#4099=EDGE_CURVE('',#4651,#4651,#4699,.T.); -#4100=VERTEX_POINT('',#9243); -#4101=VERTEX_POINT('',#9244); -#4102=VERTEX_POINT('',#9246); -#4103=VERTEX_POINT('',#9248); -#4104=VERTEX_POINT('',#9252); -#4105=VERTEX_POINT('',#9253); -#4106=VERTEX_POINT('',#9255); -#4107=VERTEX_POINT('',#9257); -#4108=VERTEX_POINT('',#9261); -#4109=VERTEX_POINT('',#9263); -#4110=VERTEX_POINT('',#9267); -#4111=VERTEX_POINT('',#9269); -#4112=VERTEX_POINT('',#9275); -#4113=VERTEX_POINT('',#9277); -#4114=VERTEX_POINT('',#9281); -#4115=VERTEX_POINT('',#9283); -#4116=VERTEX_POINT('',#9289); -#4117=VERTEX_POINT('',#9290); -#4118=VERTEX_POINT('',#9292); -#4119=VERTEX_POINT('',#9294); -#4120=VERTEX_POINT('',#9299); -#4121=VERTEX_POINT('',#9301); -#4122=VERTEX_POINT('',#9305); -#4123=VERTEX_POINT('',#9307); -#4124=VERTEX_POINT('',#9316); -#4125=VERTEX_POINT('',#9317); -#4126=VERTEX_POINT('',#9319); -#4127=VERTEX_POINT('',#9321); -#4128=VERTEX_POINT('',#9324); -#4129=VERTEX_POINT('',#9325); -#4130=VERTEX_POINT('',#9327); -#4131=VERTEX_POINT('',#9329); -#4132=VERTEX_POINT('',#9331); -#4133=VERTEX_POINT('',#9333); -#4134=VERTEX_POINT('',#9335); -#4135=VERTEX_POINT('',#9337); -#4136=VERTEX_POINT('',#9339); -#4137=VERTEX_POINT('',#9341); -#4138=VERTEX_POINT('',#9343); -#4139=VERTEX_POINT('',#9345); -#4140=VERTEX_POINT('',#9349); -#4141=VERTEX_POINT('',#9350); -#4142=VERTEX_POINT('',#9352); -#4143=VERTEX_POINT('',#9354); -#4144=VERTEX_POINT('',#9356); -#4145=VERTEX_POINT('',#9358); -#4146=VERTEX_POINT('',#9360); -#4147=VERTEX_POINT('',#9362); -#4148=VERTEX_POINT('',#9364); -#4149=VERTEX_POINT('',#9366); -#4150=VERTEX_POINT('',#9368); -#4151=VERTEX_POINT('',#9370); -#4152=VERTEX_POINT('',#9372); -#4153=VERTEX_POINT('',#9374); -#4154=VERTEX_POINT('',#9378); -#4155=VERTEX_POINT('',#9379); -#4156=VERTEX_POINT('',#9381); -#4157=VERTEX_POINT('',#9383); -#4158=VERTEX_POINT('',#9387); -#4159=VERTEX_POINT('',#9388); -#4160=VERTEX_POINT('',#9390); -#4161=VERTEX_POINT('',#9392); -#4162=VERTEX_POINT('',#9396); -#4163=VERTEX_POINT('',#9397); -#4164=VERTEX_POINT('',#9402); -#4165=VERTEX_POINT('',#9404); -#4166=VERTEX_POINT('',#9406); -#4167=VERTEX_POINT('',#9408); -#4168=VERTEX_POINT('',#9410); -#4169=VERTEX_POINT('',#9412); -#4170=VERTEX_POINT('',#9414); -#4171=VERTEX_POINT('',#9417); -#4172=VERTEX_POINT('',#9419); -#4173=VERTEX_POINT('',#9421); -#4174=VERTEX_POINT('',#9424); -#4175=VERTEX_POINT('',#9426); -#4176=VERTEX_POINT('',#9428); -#4177=VERTEX_POINT('',#9430); -#4178=VERTEX_POINT('',#9432); -#4179=VERTEX_POINT('',#9434); -#4180=VERTEX_POINT('',#9436); -#4181=VERTEX_POINT('',#9438); -#4182=VERTEX_POINT('',#9440); -#4183=VERTEX_POINT('',#9442); -#4184=VERTEX_POINT('',#9444); -#4185=VERTEX_POINT('',#9446); -#4186=VERTEX_POINT('',#9448); -#4187=VERTEX_POINT('',#9450); -#4188=VERTEX_POINT('',#9452); -#4189=VERTEX_POINT('',#9454); -#4190=VERTEX_POINT('',#9456); -#4191=VERTEX_POINT('',#9458); -#4192=VERTEX_POINT('',#9460); -#4193=VERTEX_POINT('',#9462); -#4194=VERTEX_POINT('',#9464); -#4195=VERTEX_POINT('',#9466); -#4196=VERTEX_POINT('',#9468); -#4197=VERTEX_POINT('',#9470); -#4198=VERTEX_POINT('',#9473); -#4199=VERTEX_POINT('',#9475); -#4200=VERTEX_POINT('',#9478); -#4201=VERTEX_POINT('',#9480); -#4202=VERTEX_POINT('',#9482); -#4203=VERTEX_POINT('',#9484); -#4204=VERTEX_POINT('',#9486); -#4205=VERTEX_POINT('',#9489); -#4206=VERTEX_POINT('',#9490); -#4207=VERTEX_POINT('',#9492); -#4208=VERTEX_POINT('',#9494); -#4209=VERTEX_POINT('',#9497); -#4210=VERTEX_POINT('',#9498); -#4211=VERTEX_POINT('',#9500); -#4212=VERTEX_POINT('',#9502); -#4213=VERTEX_POINT('',#9506); -#4214=VERTEX_POINT('',#9507); -#4215=VERTEX_POINT('',#9509); -#4216=VERTEX_POINT('',#9511); -#4217=VERTEX_POINT('',#9515); -#4218=VERTEX_POINT('',#9519); -#4219=VERTEX_POINT('',#9520); -#4220=VERTEX_POINT('',#9522); -#4221=VERTEX_POINT('',#9524); -#4222=VERTEX_POINT('',#9528); -#4223=VERTEX_POINT('',#9530); -#4224=VERTEX_POINT('',#9534); -#4225=VERTEX_POINT('',#9536); -#4226=VERTEX_POINT('',#9540); -#4227=VERTEX_POINT('',#9542); -#4228=VERTEX_POINT('',#9546); -#4229=VERTEX_POINT('',#9547); -#4230=VERTEX_POINT('',#9549); -#4231=VERTEX_POINT('',#9551); -#4232=VERTEX_POINT('',#9555); -#4233=VERTEX_POINT('',#9556); -#4234=VERTEX_POINT('',#9561); -#4235=VERTEX_POINT('',#9563); -#4236=VERTEX_POINT('',#9565); -#4237=VERTEX_POINT('',#9569); -#4238=VERTEX_POINT('',#9570); -#4239=VERTEX_POINT('',#9572); -#4240=VERTEX_POINT('',#9584); -#4241=VERTEX_POINT('',#9586); -#4242=VERTEX_POINT('',#9591); -#4243=VERTEX_POINT('',#9593); -#4244=VERTEX_POINT('',#9597); -#4245=VERTEX_POINT('',#9598); -#4246=VERTEX_POINT('',#9600); -#4247=VERTEX_POINT('',#9602); -#4248=VERTEX_POINT('',#9606); -#4249=VERTEX_POINT('',#9607); -#4250=VERTEX_POINT('',#9609); -#4251=VERTEX_POINT('',#9611); -#4252=VERTEX_POINT('',#9656); -#4253=VERTEX_POINT('',#9658); -#4254=VERTEX_POINT('',#9662); -#4255=VERTEX_POINT('',#9666); -#4256=VERTEX_POINT('',#9672); -#4257=VERTEX_POINT('',#9676); -#4258=VERTEX_POINT('',#9683); -#4259=VERTEX_POINT('',#9685); -#4260=VERTEX_POINT('',#9689); -#4261=VERTEX_POINT('',#9693); -#4262=VERTEX_POINT('',#9699); -#4263=VERTEX_POINT('',#9703); -#4264=VERTEX_POINT('',#9710); -#4265=VERTEX_POINT('',#9712); -#4266=VERTEX_POINT('',#9716); -#4267=VERTEX_POINT('',#9720); -#4268=VERTEX_POINT('',#9726); -#4269=VERTEX_POINT('',#9730); -#4270=VERTEX_POINT('',#9737); -#4271=VERTEX_POINT('',#9739); -#4272=VERTEX_POINT('',#9743); -#4273=VERTEX_POINT('',#9747); -#4274=VERTEX_POINT('',#9751); -#4275=VERTEX_POINT('',#9755); -#4276=VERTEX_POINT('',#9764); -#4277=VERTEX_POINT('',#9766); -#4278=VERTEX_POINT('',#9770); -#4279=VERTEX_POINT('',#9772); -#4280=VERTEX_POINT('',#9775); -#4281=VERTEX_POINT('',#9779); -#4282=VERTEX_POINT('',#9783); -#4283=VERTEX_POINT('',#9785); -#4284=VERTEX_POINT('',#9791); -#4285=VERTEX_POINT('',#9795); -#4286=VERTEX_POINT('',#9801); -#4287=VERTEX_POINT('',#9805); -#4288=VERTEX_POINT('',#9868); -#4289=VERTEX_POINT('',#9869); -#4290=VERTEX_POINT('',#9871); -#4291=VERTEX_POINT('',#9899); -#4292=VERTEX_POINT('',#9906); -#4293=VERTEX_POINT('',#9911); -#4294=VERTEX_POINT('',#9941); -#4295=VERTEX_POINT('',#9952); -#4296=VERTEX_POINT('',#9959); -#4297=VERTEX_POINT('',#9960); -#4298=VERTEX_POINT('',#9962); -#4299=VERTEX_POINT('',#9967); -#4300=VERTEX_POINT('',#9974); -#4301=VERTEX_POINT('',#9979); -#4302=VERTEX_POINT('',#10070); -#4303=VERTEX_POINT('',#10071); -#4304=VERTEX_POINT('',#10073); -#4305=VERTEX_POINT('',#10084); -#4306=VERTEX_POINT('',#10091); -#4307=VERTEX_POINT('',#10096); -#4308=VERTEX_POINT('',#10130); -#4309=VERTEX_POINT('',#10141); -#4310=VERTEX_POINT('',#10211); -#4311=VERTEX_POINT('',#10212); -#4312=VERTEX_POINT('',#10214); -#4313=VERTEX_POINT('',#10242); -#4314=VERTEX_POINT('',#10249); -#4315=VERTEX_POINT('',#10254); -#4316=VERTEX_POINT('',#10284); -#4317=VERTEX_POINT('',#10295); -#4318=VERTEX_POINT('',#10302); -#4319=VERTEX_POINT('',#10303); -#4320=VERTEX_POINT('',#10305); -#4321=VERTEX_POINT('',#10310); -#4322=VERTEX_POINT('',#10317); -#4323=VERTEX_POINT('',#10322); -#4324=VERTEX_POINT('',#10438); -#4325=VERTEX_POINT('',#10468); -#4326=VERTEX_POINT('',#10528); -#4327=VERTEX_POINT('',#10556); -#4328=VERTEX_POINT('',#10616); -#4329=VERTEX_POINT('',#10617); -#4330=VERTEX_POINT('',#10619); -#4331=VERTEX_POINT('',#10647); -#4332=VERTEX_POINT('',#10654); -#4333=VERTEX_POINT('',#10659); -#4334=VERTEX_POINT('',#10689); -#4335=VERTEX_POINT('',#10700); -#4336=VERTEX_POINT('',#10707); -#4337=VERTEX_POINT('',#10708); -#4338=VERTEX_POINT('',#10710); -#4339=VERTEX_POINT('',#10715); -#4340=VERTEX_POINT('',#10722); -#4341=VERTEX_POINT('',#10727); -#4342=VERTEX_POINT('',#10789); -#4343=VERTEX_POINT('',#10790); -#4344=VERTEX_POINT('',#10792); -#4345=VERTEX_POINT('',#10797); -#4346=VERTEX_POINT('',#10814); -#4347=VERTEX_POINT('',#10822); -#4348=VERTEX_POINT('',#10873); -#4349=VERTEX_POINT('',#10893); -#4350=VERTEX_POINT('',#10900); -#4351=VERTEX_POINT('',#10901); -#4352=VERTEX_POINT('',#10903); -#4353=VERTEX_POINT('',#10908); -#4354=VERTEX_POINT('',#11015); -#4355=VERTEX_POINT('',#11016); -#4356=VERTEX_POINT('',#11018); -#4357=VERTEX_POINT('',#11021); -#4358=VERTEX_POINT('',#11026); -#4359=VERTEX_POINT('',#11029); -#4360=VERTEX_POINT('',#11034); -#4361=VERTEX_POINT('',#11037); -#4362=VERTEX_POINT('',#11049); -#4363=VERTEX_POINT('',#11050); -#4364=VERTEX_POINT('',#11052); -#4365=VERTEX_POINT('',#11055); -#4366=VERTEX_POINT('',#11060); -#4367=VERTEX_POINT('',#11063); -#4368=VERTEX_POINT('',#11068); -#4369=VERTEX_POINT('',#11071); -#4370=VERTEX_POINT('',#11108); -#4371=VERTEX_POINT('',#11109); -#4372=VERTEX_POINT('',#11111); -#4373=VERTEX_POINT('',#11122); -#4374=VERTEX_POINT('',#11129); -#4375=VERTEX_POINT('',#11134); -#4376=VERTEX_POINT('',#11164); -#4377=VERTEX_POINT('',#11175); -#4378=VERTEX_POINT('',#11182); -#4379=VERTEX_POINT('',#11187); -#4380=VERTEX_POINT('',#11194); -#4381=VERTEX_POINT('',#11199); -#4382=VERTEX_POINT('',#11206); -#4383=VERTEX_POINT('',#11211); -#4384=VERTEX_POINT('',#11218); -#4385=VERTEX_POINT('',#11223); -#4386=VERTEX_POINT('',#11297); -#4387=VERTEX_POINT('',#11327); -#4388=VERTEX_POINT('',#11392); -#4389=VERTEX_POINT('',#11421); -#4390=VERTEX_POINT('',#11429); -#4391=VERTEX_POINT('',#11430); -#4392=VERTEX_POINT('',#11432); -#4393=VERTEX_POINT('',#11437); -#4394=VERTEX_POINT('',#11461); -#4395=VERTEX_POINT('',#11472); -#4396=VERTEX_POINT('',#11479); -#4397=VERTEX_POINT('',#11484); -#4398=VERTEX_POINT('',#11520); -#4399=VERTEX_POINT('',#11531); -#4400=VERTEX_POINT('',#11576); -#4401=VERTEX_POINT('',#11577); -#4402=VERTEX_POINT('',#11579); -#4403=VERTEX_POINT('',#11590); -#4404=VERTEX_POINT('',#11597); -#4405=VERTEX_POINT('',#11602); -#4406=VERTEX_POINT('',#11635); -#4407=VERTEX_POINT('',#11649); -#4408=VERTEX_POINT('',#11656); -#4409=VERTEX_POINT('',#11661); -#4410=VERTEX_POINT('',#11668); -#4411=VERTEX_POINT('',#11673); -#4412=VERTEX_POINT('',#11689); -#4413=VERTEX_POINT('',#11690); -#4414=VERTEX_POINT('',#11692); -#4415=VERTEX_POINT('',#11695); -#4416=VERTEX_POINT('',#11700); -#4417=VERTEX_POINT('',#11703); -#4418=VERTEX_POINT('',#11708); -#4419=VERTEX_POINT('',#11711); -#4420=VERTEX_POINT('',#11716); -#4421=VERTEX_POINT('',#11719); -#4422=VERTEX_POINT('',#11724); -#4423=VERTEX_POINT('',#11727); -#4424=VERTEX_POINT('',#11732); -#4425=VERTEX_POINT('',#11735); -#4426=VERTEX_POINT('',#11740); -#4427=VERTEX_POINT('',#11743); -#4428=VERTEX_POINT('',#11748); -#4429=VERTEX_POINT('',#11751); -#4430=VERTEX_POINT('',#11756); -#4431=VERTEX_POINT('',#11759); -#4432=VERTEX_POINT('',#11764); -#4433=VERTEX_POINT('',#11767); -#4434=VERTEX_POINT('',#11772); -#4435=VERTEX_POINT('',#11775); -#4436=VERTEX_POINT('',#11780); -#4437=VERTEX_POINT('',#11783); -#4438=VERTEX_POINT('',#11797); -#4439=VERTEX_POINT('',#11798); -#4440=VERTEX_POINT('',#11800); -#4441=VERTEX_POINT('',#11805); -#4442=VERTEX_POINT('',#11840); -#4443=VERTEX_POINT('',#11854); -#4444=VERTEX_POINT('',#11885); -#4445=VERTEX_POINT('',#11899); -#4446=VERTEX_POINT('',#11906); -#4447=VERTEX_POINT('',#11911); -#4448=VERTEX_POINT('',#11945); -#4449=VERTEX_POINT('',#11956); -#4450=VERTEX_POINT('',#12012); -#4451=VERTEX_POINT('',#12013); -#4452=VERTEX_POINT('',#12015); -#4453=VERTEX_POINT('',#12026); -#4454=VERTEX_POINT('',#12033); -#4455=VERTEX_POINT('',#12038); -#4456=VERTEX_POINT('',#12068); -#4457=VERTEX_POINT('',#12079); -#4458=VERTEX_POINT('',#12086); -#4459=VERTEX_POINT('',#12091); -#4460=VERTEX_POINT('',#12098); -#4461=VERTEX_POINT('',#12103); -#4462=VERTEX_POINT('',#12110); -#4463=VERTEX_POINT('',#12115); -#4464=VERTEX_POINT('',#12122); -#4465=VERTEX_POINT('',#12127); -#4466=VERTEX_POINT('',#12174); -#4467=VERTEX_POINT('',#12175); -#4468=VERTEX_POINT('',#12177); -#4469=VERTEX_POINT('',#12188); -#4470=VERTEX_POINT('',#12195); -#4471=VERTEX_POINT('',#12200); -#4472=VERTEX_POINT('',#12234); -#4473=VERTEX_POINT('',#12245); -#4474=VERTEX_POINT('',#12261); -#4475=VERTEX_POINT('',#12262); -#4476=VERTEX_POINT('',#12264); -#4477=VERTEX_POINT('',#12267); -#4478=VERTEX_POINT('',#12272); -#4479=VERTEX_POINT('',#12275); -#4480=VERTEX_POINT('',#12280); -#4481=VERTEX_POINT('',#12283); -#4482=VERTEX_POINT('',#12288); -#4483=VERTEX_POINT('',#12291); -#4484=VERTEX_POINT('',#12296); -#4485=VERTEX_POINT('',#12299); -#4486=VERTEX_POINT('',#12313); -#4487=VERTEX_POINT('',#12314); -#4488=VERTEX_POINT('',#12316); -#4489=VERTEX_POINT('',#12321); -#4490=VERTEX_POINT('',#12345); -#4491=VERTEX_POINT('',#12356); -#4492=VERTEX_POINT('',#12363); -#4493=VERTEX_POINT('',#12368); -#4494=VERTEX_POINT('',#12375); -#4495=VERTEX_POINT('',#12380); -#4496=VERTEX_POINT('',#12387); -#4497=VERTEX_POINT('',#12392); -#4498=VERTEX_POINT('',#12399); -#4499=VERTEX_POINT('',#12404); -#4500=VERTEX_POINT('',#12411); -#4501=VERTEX_POINT('',#12416); -#4502=VERTEX_POINT('',#12423); -#4503=VERTEX_POINT('',#12428); -#4504=VERTEX_POINT('',#12435); -#4505=VERTEX_POINT('',#12440); -#4506=VERTEX_POINT('',#12447); -#4507=VERTEX_POINT('',#12452); -#4508=VERTEX_POINT('',#12459); -#4509=VERTEX_POINT('',#12464); -#4510=VERTEX_POINT('',#12492); -#4511=VERTEX_POINT('',#12503); -#4512=VERTEX_POINT('',#12521); -#4513=VERTEX_POINT('',#12522); -#4514=VERTEX_POINT('',#12524); -#4515=VERTEX_POINT('',#12529); -#4516=VERTEX_POINT('',#12551); -#4517=VERTEX_POINT('',#12562); -#4518=VERTEX_POINT('',#12569); -#4519=VERTEX_POINT('',#12574); -#4520=VERTEX_POINT('',#12581); -#4521=VERTEX_POINT('',#12586); -#4522=VERTEX_POINT('',#12593); -#4523=VERTEX_POINT('',#12598); -#4524=VERTEX_POINT('',#12605); -#4525=VERTEX_POINT('',#12610); -#4526=VERTEX_POINT('',#12617); -#4527=VERTEX_POINT('',#12622); -#4528=VERTEX_POINT('',#12629); -#4529=VERTEX_POINT('',#12634); -#4530=VERTEX_POINT('',#12641); -#4531=VERTEX_POINT('',#12646); -#4532=VERTEX_POINT('',#12653); -#4533=VERTEX_POINT('',#12658); -#4534=VERTEX_POINT('',#12665); -#4535=VERTEX_POINT('',#12670); -#4536=VERTEX_POINT('',#12698); -#4537=VERTEX_POINT('',#12709); -#4538=VERTEX_POINT('',#12727); -#4539=VERTEX_POINT('',#12728); -#4540=VERTEX_POINT('',#12730); -#4541=VERTEX_POINT('',#12735); -#4542=VERTEX_POINT('',#12742); -#4543=VERTEX_POINT('',#12747); -#4544=VERTEX_POINT('',#12766); -#4545=VERTEX_POINT('',#12774); -#4546=VERTEX_POINT('',#12781); -#4547=VERTEX_POINT('',#12786); -#4548=VERTEX_POINT('',#12793); -#4549=VERTEX_POINT('',#12798); -#4550=VERTEX_POINT('',#12805); -#4551=VERTEX_POINT('',#12810); -#4552=VERTEX_POINT('',#12850); -#4553=VERTEX_POINT('',#12851); -#4554=VERTEX_POINT('',#12853); -#4555=VERTEX_POINT('',#12857); -#4556=VERTEX_POINT('',#12858); -#4557=VERTEX_POINT('',#12863); -#4558=VERTEX_POINT('',#12868); -#4559=VERTEX_POINT('',#12870); -#4560=VERTEX_POINT('',#12875); -#4561=VERTEX_POINT('',#12880); -#4562=VERTEX_POINT('',#12882); -#4563=VERTEX_POINT('',#12887); -#4564=VERTEX_POINT('',#12893); -#4565=VERTEX_POINT('',#12903); -#4566=VERTEX_POINT('',#12904); -#4567=VERTEX_POINT('',#12909); -#4568=VERTEX_POINT('',#12911); -#4569=VERTEX_POINT('',#12916); -#4570=VERTEX_POINT('',#12922); -#4571=VERTEX_POINT('',#12931); -#4572=VERTEX_POINT('',#12936); -#4573=VERTEX_POINT('',#12940); -#4574=VERTEX_POINT('',#12944); -#4575=VERTEX_POINT('',#12945); -#4576=VERTEX_POINT('',#12950); -#4577=VERTEX_POINT('',#12952); -#4578=VERTEX_POINT('',#12956); -#4579=VERTEX_POINT('',#12958); -#4580=VERTEX_POINT('',#12962); -#4581=VERTEX_POINT('',#12963); -#4582=VERTEX_POINT('',#12968); -#4583=VERTEX_POINT('',#12974); -#4584=VERTEX_POINT('',#12977); -#4585=VERTEX_POINT('',#12981); -#4586=VERTEX_POINT('',#12985); -#4587=VERTEX_POINT('',#12987); -#4588=VERTEX_POINT('',#12990); -#4589=VERTEX_POINT('',#12992); -#4590=VERTEX_POINT('',#12996); -#4591=VERTEX_POINT('',#13001); -#4592=VERTEX_POINT('',#13006); -#4593=VERTEX_POINT('',#13013); -#4594=VERTEX_POINT('',#13014); -#4595=VERTEX_POINT('',#13017); -#4596=VERTEX_POINT('',#13032); -#4597=VERTEX_POINT('',#13033); -#4598=VERTEX_POINT('',#13038); -#4599=VERTEX_POINT('',#13043); -#4600=VERTEX_POINT('',#13048); -#4601=VERTEX_POINT('',#13053); -#4602=VERTEX_POINT('',#13176); -#4603=VERTEX_POINT('',#13177); -#4604=VERTEX_POINT('',#13179); -#4605=VERTEX_POINT('',#13184); -#4606=VERTEX_POINT('',#13188); -#4607=VERTEX_POINT('',#13189); -#4608=VERTEX_POINT('',#13209); -#4609=VERTEX_POINT('',#13210); -#4610=VERTEX_POINT('',#13238); -#4611=VERTEX_POINT('',#13239); -#4612=VERTEX_POINT('',#13249); -#4613=VERTEX_POINT('',#13255); -#4614=VERTEX_POINT('',#13276); -#4615=VERTEX_POINT('',#13281); -#4616=VERTEX_POINT('',#13285); -#4617=VERTEX_POINT('',#13286); -#4618=VERTEX_POINT('',#13294); -#4619=VERTEX_POINT('',#13295); -#4620=VERTEX_POINT('',#13303); -#4621=VERTEX_POINT('',#13304); -#4622=VERTEX_POINT('',#13306); -#4623=VERTEX_POINT('',#13308); -#4624=VERTEX_POINT('',#13312); -#4625=VERTEX_POINT('',#13313); -#4626=VERTEX_POINT('',#13321); -#4627=VERTEX_POINT('',#13322); -#4628=VERTEX_POINT('',#13324); -#4629=VERTEX_POINT('',#13326); -#4630=VERTEX_POINT('',#13345); -#4631=VERTEX_POINT('',#13346); -#4632=VERTEX_POINT('',#13357); -#4633=VERTEX_POINT('',#13359); -#4634=VERTEX_POINT('',#13381); -#4635=VERTEX_POINT('',#13382); -#4636=VERTEX_POINT('',#13392); -#4637=VERTEX_POINT('',#13397); -#4638=VERTEX_POINT('',#13424); -#4639=VERTEX_POINT('',#13425); -#4640=VERTEX_POINT('',#13427); -#4641=VERTEX_POINT('',#13429); -#4642=VERTEX_POINT('',#13431); -#4643=VERTEX_POINT('',#13433); -#4644=VERTEX_POINT('',#13435); -#4645=VERTEX_POINT('',#13437); -#4646=VERTEX_POINT('',#13439); -#4647=VERTEX_POINT('',#13441); -#4648=VERTEX_POINT('',#13443); -#4649=VERTEX_POINT('',#13445); -#4650=VERTEX_POINT('',#13491); -#4651=VERTEX_POINT('',#13493); -#4652=CIRCLE('',#7746,1.04122161644845); -#4653=CIRCLE('',#7747,1.04122161644845); -#4654=CIRCLE('',#7749,1.04122161644845); -#4655=CIRCLE('',#7750,1.04122161644845); -#4656=CIRCLE('',#7764,1.04122161644845); -#4657=CIRCLE('',#7765,1.04122161644845); -#4658=CIRCLE('',#7785,1.04122161644845); -#4659=CIRCLE('',#7786,1.04122161644845); -#4660=CIRCLE('',#7795,1.04122161644845); -#4661=CIRCLE('',#7796,1.04122161644845); -#4662=CIRCLE('',#7798,1.04122161644845); -#4663=CIRCLE('',#7799,1.04122161644845); -#4664=CIRCLE('',#7805,1.04122161644845); -#4665=CIRCLE('',#7806,1.04122161644845); -#4666=CIRCLE('',#7808,1.04122161644845); -#4667=CIRCLE('',#7809,1.04122161644845); -#4668=CIRCLE('',#7854,1.04122161644845); -#4669=CIRCLE('',#7856,1.04122161644845); -#4670=CIRCLE('',#7859,1.04122161644845); -#4671=CIRCLE('',#7861,1.04122161644845); -#4672=CIRCLE('',#7999,1.85895395122258); -#4673=CIRCLE('',#8001,1.85895395122258); -#4674=CIRCLE('',#8002,2.921); -#4675=CIRCLE('',#8003,1.85895395122258); -#4676=CIRCLE('',#8005,1.85895395122258); -#4677=CIRCLE('',#8006,2.921); -#4678=CIRCLE('',#8014,2.921); -#4679=CIRCLE('',#8015,2.921); -#4680=CIRCLE('',#8016,2.921); -#4681=CIRCLE('',#8019,2.6997404065047); -#4682=CIRCLE('',#8031,0.371716117072097); -#4683=CIRCLE('',#8032,0.371716117072097); -#4684=CIRCLE('',#8039,0.371716117072097); -#4685=CIRCLE('',#8040,0.371716117072097); -#4686=CIRCLE('',#8047,0.371716117072097); -#4687=CIRCLE('',#8048,0.371716117072097); -#4688=CIRCLE('',#8055,0.371716117072097); -#4689=CIRCLE('',#8059,0.371716117072097); -#4690=CIRCLE('',#8061,2.921); -#4691=CIRCLE('',#8062,2.921); -#4692=CIRCLE('',#8074,1.85895395122258); -#4693=CIRCLE('',#8075,1.85895395122258); -#4694=CIRCLE('',#8076,2.921); -#4695=CIRCLE('',#8078,1.85895395122258); -#4696=CIRCLE('',#8079,2.921); -#4697=CIRCLE('',#8080,1.85895395122258); -#4698=CIRCLE('',#8082,2.921); -#4699=CIRCLE('',#8083,2.6997404065047); -#4700=EDGE_LOOP('',(#1598,#1599,#1600,#1601)); -#4701=EDGE_LOOP('',(#1602,#1603,#1604,#1605)); -#4702=EDGE_LOOP('',(#1606,#1607,#1608,#1609)); -#4703=EDGE_LOOP('',(#1610,#1611,#1612,#1613)); -#4704=EDGE_LOOP('',(#1614,#1615,#1616,#1617)); -#4705=EDGE_LOOP('',(#1618,#1619,#1620,#1621)); -#4706=EDGE_LOOP('',(#1622,#1623,#1624,#1625)); -#4707=EDGE_LOOP('',(#1626,#1627,#1628,#1629)); -#4708=EDGE_LOOP('',(#1630,#1631,#1632,#1633)); -#4709=EDGE_LOOP('',(#1634,#1635,#1636,#1637,#1638,#1639,#1640,#1641)); -#4710=EDGE_LOOP('',(#1642,#1643,#1644,#1645)); -#4711=EDGE_LOOP('',(#1646,#1647,#1648,#1649,#1650,#1651,#1652,#1653)); -#4712=EDGE_LOOP('',(#1654,#1655,#1656,#1657)); -#4713=EDGE_LOOP('',(#1658,#1659,#1660,#1661)); -#4714=EDGE_LOOP('',(#1662,#1663,#1664,#1665,#1666,#1667,#1668,#1669,#1670, -#1671,#1672,#1673)); -#4715=EDGE_LOOP('',(#1674,#1675,#1676,#1677,#1678,#1679,#1680,#1681,#1682, -#1683,#1684,#1685,#1686,#1687)); -#4716=EDGE_LOOP('',(#1688,#1689,#1690,#1691)); -#4717=EDGE_LOOP('',(#1692,#1693,#1694,#1695)); -#4718=EDGE_LOOP('',(#1696,#1697,#1698,#1699)); -#4719=EDGE_LOOP('',(#1700,#1701,#1702,#1703,#1704,#1705,#1706,#1707,#1708, -#1709,#1710,#1711,#1712,#1713,#1714,#1715,#1716,#1717,#1718,#1719,#1720, -#1721,#1722,#1723,#1724,#1725,#1726,#1727,#1728,#1729,#1730,#1731,#1732, -#1733,#1734,#1735,#1736,#1737,#1738,#1739,#1740,#1741,#1742,#1743,#1744, -#1745,#1746,#1747,#1748,#1749,#1750,#1751)); -#4720=EDGE_LOOP('',(#1752,#1753,#1754,#1755)); -#4721=EDGE_LOOP('',(#1756,#1757,#1758,#1759)); -#4722=EDGE_LOOP('',(#1760,#1761,#1762,#1763,#1764,#1765,#1766,#1767,#1768, -#1769,#1770,#1771)); -#4723=EDGE_LOOP('',(#1772,#1773,#1774,#1775)); -#4724=EDGE_LOOP('',(#1776,#1777,#1778,#1779)); -#4725=EDGE_LOOP('',(#1780,#1781,#1782,#1783)); -#4726=EDGE_LOOP('',(#1784,#1785,#1786,#1787)); -#4727=EDGE_LOOP('',(#1788,#1789,#1790,#1791)); -#4728=EDGE_LOOP('',(#1792,#1793,#1794,#1795)); -#4729=EDGE_LOOP('',(#1796,#1797,#1798,#1799)); -#4730=EDGE_LOOP('',(#1800,#1801,#1802,#1803)); -#4731=EDGE_LOOP('',(#1804,#1805,#1806,#1807,#1808,#1809)); -#4732=EDGE_LOOP('',(#1810,#1811,#1812,#1813,#1814,#1815)); -#4733=EDGE_LOOP('',(#1816,#1817,#1818,#1819)); -#4734=EDGE_LOOP('',(#1820,#1821,#1822,#1823)); -#4735=EDGE_LOOP('',(#1824,#1825,#1826,#1827)); -#4736=EDGE_LOOP('',(#1828,#1829,#1830,#1831)); -#4737=EDGE_LOOP('',(#1832,#1833,#1834,#1835,#1836,#1837,#1838,#1839,#1840, -#1841,#1842,#1843,#1844,#1845)); -#4738=EDGE_LOOP('',(#1846,#1847,#1848,#1849)); -#4739=EDGE_LOOP('',(#1850,#1851,#1852,#1853)); -#4740=EDGE_LOOP('',(#1854,#1855,#1856,#1857)); -#4741=EDGE_LOOP('',(#1858,#1859,#1860,#1861)); -#4742=EDGE_LOOP('',(#1862,#1863,#1864,#1865,#1866,#1867)); -#4743=EDGE_LOOP('',(#1868,#1869,#1870,#1871,#1872,#1873)); -#4744=EDGE_LOOP('',(#1874,#1875,#1876,#1877)); -#4745=EDGE_LOOP('',(#1878,#1879,#1880,#1881,#1882,#1883,#1884,#1885,#1886, -#1887)); -#4746=EDGE_LOOP('',(#1888,#1889,#1890,#1891,#1892,#1893,#1894,#1895,#1896, -#1897)); -#4747=EDGE_LOOP('',(#1898,#1899,#1900,#1901)); -#4748=EDGE_LOOP('',(#1902,#1903,#1904,#1905)); -#4749=EDGE_LOOP('',(#1906,#1907,#1908,#1909)); -#4750=EDGE_LOOP('',(#1910,#1911,#1912,#1913)); -#4751=EDGE_LOOP('',(#1914,#1915,#1916,#1917,#1918,#1919,#1920,#1921,#1922, -#1923)); -#4752=EDGE_LOOP('',(#1924,#1925,#1926,#1927,#1928,#1929,#1930,#1931,#1932, -#1933)); -#4753=EDGE_LOOP('',(#1934,#1935,#1936,#1937)); -#4754=EDGE_LOOP('',(#1938,#1939,#1940,#1941)); -#4755=EDGE_LOOP('',(#1942,#1943,#1944,#1945)); -#4756=EDGE_LOOP('',(#1946,#1947,#1948,#1949)); -#4757=EDGE_LOOP('',(#1950,#1951,#1952,#1953)); -#4758=EDGE_LOOP('',(#1954,#1955,#1956,#1957)); -#4759=EDGE_LOOP('',(#1958,#1959,#1960,#1961)); -#4760=EDGE_LOOP('',(#1962,#1963,#1964,#1965)); -#4761=EDGE_LOOP('',(#1966,#1967,#1968,#1969)); -#4762=EDGE_LOOP('',(#1970,#1971,#1972,#1973)); -#4763=EDGE_LOOP('',(#1974,#1975,#1976,#1977)); -#4764=EDGE_LOOP('',(#1978,#1979,#1980,#1981)); -#4765=EDGE_LOOP('',(#1982,#1983,#1984,#1985)); -#4766=EDGE_LOOP('',(#1986,#1987,#1988,#1989,#1990,#1991,#1992,#1993)); -#4767=EDGE_LOOP('',(#1994,#1995,#1996,#1997)); -#4768=EDGE_LOOP('',(#1998,#1999,#2000,#2001)); -#4769=EDGE_LOOP('',(#2002,#2003,#2004,#2005)); -#4770=EDGE_LOOP('',(#2006,#2007,#2008,#2009)); -#4771=EDGE_LOOP('',(#2010,#2011,#2012,#2013)); -#4772=EDGE_LOOP('',(#2014,#2015,#2016,#2017)); -#4773=EDGE_LOOP('',(#2018,#2019,#2020,#2021)); -#4774=EDGE_LOOP('',(#2022,#2023,#2024,#2025,#2026,#2027,#2028,#2029)); -#4775=EDGE_LOOP('',(#2030,#2031,#2032,#2033)); -#4776=EDGE_LOOP('',(#2034,#2035,#2036,#2037)); -#4777=EDGE_LOOP('',(#2038,#2039,#2040,#2041)); -#4778=EDGE_LOOP('',(#2042,#2043,#2044,#2045)); -#4779=EDGE_LOOP('',(#2046,#2047,#2048,#2049)); -#4780=EDGE_LOOP('',(#2050,#2051,#2052,#2053)); -#4781=EDGE_LOOP('',(#2054,#2055,#2056,#2057)); -#4782=EDGE_LOOP('',(#2058,#2059,#2060,#2061,#2062,#2063,#2064,#2065)); -#4783=EDGE_LOOP('',(#2066,#2067,#2068,#2069)); -#4784=EDGE_LOOP('',(#2070,#2071,#2072,#2073)); -#4785=EDGE_LOOP('',(#2074,#2075,#2076,#2077)); -#4786=EDGE_LOOP('',(#2078,#2079,#2080,#2081)); -#4787=EDGE_LOOP('',(#2082,#2083,#2084,#2085)); -#4788=EDGE_LOOP('',(#2086,#2087,#2088,#2089)); -#4789=EDGE_LOOP('',(#2090,#2091,#2092,#2093)); -#4790=EDGE_LOOP('',(#2094,#2095,#2096,#2097,#2098,#2099,#2100,#2101)); -#4791=EDGE_LOOP('',(#2102,#2103,#2104,#2105)); -#4792=EDGE_LOOP('',(#2106,#2107,#2108,#2109,#2110,#2111,#2112,#2113)); -#4793=EDGE_LOOP('',(#2114,#2115,#2116,#2117)); -#4794=EDGE_LOOP('',(#2118,#2119,#2120,#2121,#2122,#2123,#2124,#2125)); -#4795=EDGE_LOOP('',(#2126,#2127,#2128,#2129)); -#4796=EDGE_LOOP('',(#2130,#2131,#2132,#2133)); -#4797=EDGE_LOOP('',(#2134,#2135,#2136,#2137)); -#4798=EDGE_LOOP('',(#2138,#2139,#2140,#2141)); -#4799=EDGE_LOOP('',(#2142,#2143,#2144,#2145)); -#4800=EDGE_LOOP('',(#2146,#2147,#2148,#2149)); -#4801=EDGE_LOOP('',(#2150,#2151,#2152,#2153)); -#4802=EDGE_LOOP('',(#2154,#2155,#2156,#2157)); -#4803=EDGE_LOOP('',(#2158,#2159,#2160,#2161)); -#4804=EDGE_LOOP('',(#2162,#2163,#2164,#2165)); -#4805=EDGE_LOOP('',(#2166,#2167,#2168,#2169)); -#4806=EDGE_LOOP('',(#2170,#2171,#2172,#2173)); -#4807=EDGE_LOOP('',(#2174,#2175,#2176,#2177)); -#4808=EDGE_LOOP('',(#2178,#2179,#2180,#2181)); -#4809=EDGE_LOOP('',(#2182,#2183,#2184,#2185)); -#4810=EDGE_LOOP('',(#2186,#2187,#2188,#2189)); -#4811=EDGE_LOOP('',(#2190,#2191,#2192)); -#4812=EDGE_LOOP('',(#2193,#2194,#2195,#2196)); -#4813=EDGE_LOOP('',(#2197,#2198,#2199)); -#4814=EDGE_LOOP('',(#2200,#2201,#2202,#2203)); -#4815=EDGE_LOOP('',(#2204,#2205,#2206,#2207)); -#4816=EDGE_LOOP('',(#2208,#2209,#2210,#2211)); -#4817=EDGE_LOOP('',(#2212,#2213,#2214,#2215)); -#4818=EDGE_LOOP('',(#2216,#2217,#2218,#2219)); -#4819=EDGE_LOOP('',(#2220,#2221,#2222,#2223)); -#4820=EDGE_LOOP('',(#2224,#2225,#2226,#2227)); -#4821=EDGE_LOOP('',(#2228,#2229,#2230,#2231)); -#4822=EDGE_LOOP('',(#2232,#2233,#2234,#2235)); -#4823=EDGE_LOOP('',(#2236,#2237,#2238,#2239)); -#4824=EDGE_LOOP('',(#2240,#2241,#2242,#2243)); -#4825=EDGE_LOOP('',(#2244,#2245,#2246,#2247)); -#4826=EDGE_LOOP('',(#2248,#2249,#2250,#2251)); -#4827=EDGE_LOOP('',(#2252,#2253,#2254,#2255)); -#4828=EDGE_LOOP('',(#2256,#2257,#2258)); -#4829=EDGE_LOOP('',(#2259,#2260,#2261,#2262)); -#4830=EDGE_LOOP('',(#2263,#2264,#2265)); -#4831=EDGE_LOOP('',(#2266,#2267,#2268,#2269)); -#4832=EDGE_LOOP('',(#2270)); -#4833=EDGE_LOOP('',(#2271)); -#4834=EDGE_LOOP('',(#2272)); -#4835=EDGE_LOOP('',(#2273)); -#4836=EDGE_LOOP('',(#2274)); -#4837=EDGE_LOOP('',(#2275)); -#4838=EDGE_LOOP('',(#2276)); -#4839=EDGE_LOOP('',(#2277)); -#4840=EDGE_LOOP('',(#2278,#2279,#2280,#2281)); -#4841=EDGE_LOOP('',(#2282,#2283,#2284,#2285)); -#4842=EDGE_LOOP('',(#2286,#2287,#2288,#2289)); -#4843=EDGE_LOOP('',(#2290,#2291,#2292,#2293)); -#4844=EDGE_LOOP('',(#2294,#2295,#2296,#2297)); -#4845=EDGE_LOOP('',(#2298,#2299,#2300,#2301)); -#4846=EDGE_LOOP('',(#2302,#2303,#2304,#2305)); -#4847=EDGE_LOOP('',(#2306,#2307,#2308)); -#4848=EDGE_LOOP('',(#2309,#2310,#2311,#2312)); -#4849=EDGE_LOOP('',(#2313,#2314,#2315)); -#4850=EDGE_LOOP('',(#2316,#2317,#2318,#2319)); -#4851=EDGE_LOOP('',(#2320,#2321,#2322,#2323)); -#4852=EDGE_LOOP('',(#2324,#2325,#2326,#2327)); -#4853=EDGE_LOOP('',(#2328,#2329,#2330,#2331)); -#4854=EDGE_LOOP('',(#2332,#2333,#2334,#2335)); -#4855=EDGE_LOOP('',(#2336,#2337,#2338,#2339)); -#4856=EDGE_LOOP('',(#2340,#2341,#2342,#2343)); -#4857=EDGE_LOOP('',(#2344,#2345)); -#4858=EDGE_LOOP('',(#2346,#2347,#2348,#2349)); -#4859=EDGE_LOOP('',(#2350,#2351)); -#4860=EDGE_LOOP('',(#2352,#2353,#2354,#2355)); -#4861=EDGE_LOOP('',(#2356,#2357,#2358,#2359)); -#4862=EDGE_LOOP('',(#2360,#2361,#2362,#2363)); -#4863=EDGE_LOOP('',(#2364,#2365,#2366,#2367)); -#4864=EDGE_LOOP('',(#2368,#2369,#2370,#2371)); -#4865=EDGE_LOOP('',(#2372,#2373,#2374,#2375)); -#4866=EDGE_LOOP('',(#2376,#2377,#2378,#2379)); -#4867=EDGE_LOOP('',(#2380,#2381,#2382,#2383)); -#4868=EDGE_LOOP('',(#2384,#2385,#2386,#2387)); -#4869=EDGE_LOOP('',(#2388,#2389,#2390,#2391)); -#4870=EDGE_LOOP('',(#2392,#2393,#2394,#2395)); -#4871=EDGE_LOOP('',(#2396,#2397,#2398,#2399)); -#4872=EDGE_LOOP('',(#2400,#2401,#2402,#2403)); -#4873=EDGE_LOOP('',(#2404,#2405,#2406,#2407)); -#4874=EDGE_LOOP('',(#2408,#2409,#2410,#2411)); -#4875=EDGE_LOOP('',(#2412,#2413,#2414,#2415)); -#4876=EDGE_LOOP('',(#2416,#2417,#2418,#2419)); -#4877=EDGE_LOOP('',(#2420,#2421,#2422,#2423)); -#4878=EDGE_LOOP('',(#2424,#2425,#2426,#2427)); -#4879=EDGE_LOOP('',(#2428,#2429,#2430,#2431)); -#4880=EDGE_LOOP('',(#2432,#2433,#2434,#2435)); -#4881=EDGE_LOOP('',(#2436,#2437,#2438,#2439,#2440,#2441,#2442,#2443)); -#4882=EDGE_LOOP('',(#2444,#2445,#2446,#2447,#2448,#2449,#2450,#2451)); -#4883=EDGE_LOOP('',(#2452)); -#4884=EDGE_LOOP('',(#2453)); -#4885=EDGE_LOOP('',(#2454)); -#4886=EDGE_LOOP('',(#2455)); -#4887=EDGE_LOOP('',(#2456)); -#4888=EDGE_LOOP('',(#2457)); -#4889=EDGE_LOOP('',(#2458)); -#4890=EDGE_LOOP('',(#2459)); -#4891=EDGE_LOOP('',(#2460,#2461,#2462,#2463)); -#4892=EDGE_LOOP('',(#2464,#2465,#2466,#2467)); -#4893=EDGE_LOOP('',(#2468,#2469,#2470,#2471)); -#4894=EDGE_LOOP('',(#2472,#2473,#2474,#2475)); -#4895=EDGE_LOOP('',(#2476,#2477,#2478,#2479)); -#4896=EDGE_LOOP('',(#2480,#2481,#2482,#2483,#2484)); -#4897=EDGE_LOOP('',(#2485,#2486,#2487,#2488,#2489)); -#4898=EDGE_LOOP('',(#2490,#2491,#2492,#2493)); -#4899=EDGE_LOOP('',(#2494,#2495,#2496,#2497)); -#4900=EDGE_LOOP('',(#2498,#2499,#2500,#2501)); -#4901=EDGE_LOOP('',(#2502,#2503,#2504,#2505)); -#4902=EDGE_LOOP('',(#2506,#2507,#2508,#2509)); -#4903=EDGE_LOOP('',(#2510,#2511,#2512,#2513)); -#4904=EDGE_LOOP('',(#2514,#2515,#2516,#2517,#2518,#2519)); -#4905=EDGE_LOOP('',(#2520,#2521,#2522,#2523,#2524,#2525)); -#4906=EDGE_LOOP('',(#2526,#2527,#2528,#2529)); -#4907=EDGE_LOOP('',(#2530,#2531,#2532,#2533)); -#4908=EDGE_LOOP('',(#2534,#2535,#2536,#2537)); -#4909=EDGE_LOOP('',(#2538,#2539,#2540,#2541)); -#4910=EDGE_LOOP('',(#2542,#2543,#2544,#2545)); -#4911=EDGE_LOOP('',(#2546,#2547,#2548,#2549)); -#4912=EDGE_LOOP('',(#2550,#2551,#2552,#2553)); -#4913=EDGE_LOOP('',(#2554,#2555,#2556,#2557)); -#4914=EDGE_LOOP('',(#2558,#2559,#2560,#2561)); -#4915=EDGE_LOOP('',(#2562,#2563,#2564,#2565)); -#4916=EDGE_LOOP('',(#2566,#2567,#2568,#2569)); -#4917=EDGE_LOOP('',(#2570,#2571,#2572,#2573)); -#4918=EDGE_LOOP('',(#2574,#2575,#2576,#2577)); -#4919=EDGE_LOOP('',(#2578,#2579,#2580,#2581,#2582,#2583,#2584,#2585,#2586, -#2587,#2588,#2589,#2590)); -#4920=EDGE_LOOP('',(#2591,#2592,#2593,#2594,#2595,#2596,#2597,#2598,#2599, -#2600,#2601,#2602,#2603)); -#4921=EDGE_LOOP('',(#2604,#2605,#2606,#2607)); -#4922=EDGE_LOOP('',(#2608,#2609,#2610,#2611)); -#4923=EDGE_LOOP('',(#2612,#2613,#2614,#2615)); -#4924=EDGE_LOOP('',(#2616,#2617,#2618,#2619)); -#4925=EDGE_LOOP('',(#2620,#2621,#2622,#2623)); -#4926=EDGE_LOOP('',(#2624,#2625,#2626,#2627)); -#4927=EDGE_LOOP('',(#2628,#2629,#2630,#2631,#2632,#2633)); -#4928=EDGE_LOOP('',(#2634,#2635,#2636,#2637,#2638,#2639)); -#4929=EDGE_LOOP('',(#2640,#2641,#2642,#2643)); -#4930=EDGE_LOOP('',(#2644,#2645,#2646,#2647)); -#4931=EDGE_LOOP('',(#2648,#2649,#2650,#2651)); -#4932=EDGE_LOOP('',(#2652,#2653,#2654,#2655)); -#4933=EDGE_LOOP('',(#2656,#2657,#2658,#2659)); -#4934=EDGE_LOOP('',(#2660,#2661,#2662,#2663)); -#4935=EDGE_LOOP('',(#2664,#2665,#2666,#2667)); -#4936=EDGE_LOOP('',(#2668,#2669,#2670,#2671)); -#4937=EDGE_LOOP('',(#2672,#2673,#2674,#2675,#2676,#2677,#2678,#2679)); -#4938=EDGE_LOOP('',(#2680,#2681,#2682,#2683,#2684,#2685,#2686,#2687)); -#4939=EDGE_LOOP('',(#2688,#2689,#2690,#2691)); -#4940=EDGE_LOOP('',(#2692,#2693,#2694,#2695)); -#4941=EDGE_LOOP('',(#2696,#2697,#2698,#2699)); -#4942=EDGE_LOOP('',(#2700,#2701,#2702,#2703)); -#4943=EDGE_LOOP('',(#2704,#2705,#2706,#2707)); -#4944=EDGE_LOOP('',(#2708,#2709,#2710,#2711)); -#4945=EDGE_LOOP('',(#2712,#2713,#2714,#2715)); -#4946=EDGE_LOOP('',(#2716,#2717,#2718,#2719)); -#4947=EDGE_LOOP('',(#2720,#2721,#2722,#2723)); -#4948=EDGE_LOOP('',(#2724,#2725,#2726,#2727)); -#4949=EDGE_LOOP('',(#2728,#2729,#2730,#2731)); -#4950=EDGE_LOOP('',(#2732,#2733,#2734,#2735)); -#4951=EDGE_LOOP('',(#2736,#2737,#2738,#2739,#2740,#2741)); -#4952=EDGE_LOOP('',(#2742,#2743,#2744,#2745,#2746,#2747)); -#4953=EDGE_LOOP('',(#2748,#2749,#2750,#2751)); -#4954=EDGE_LOOP('',(#2752,#2753,#2754,#2755)); -#4955=EDGE_LOOP('',(#2756,#2757,#2758,#2759)); -#4956=EDGE_LOOP('',(#2760,#2761,#2762,#2763)); -#4957=EDGE_LOOP('',(#2764,#2765,#2766,#2767)); -#4958=EDGE_LOOP('',(#2768,#2769,#2770,#2771)); -#4959=EDGE_LOOP('',(#2772,#2773,#2774,#2775)); -#4960=EDGE_LOOP('',(#2776,#2777,#2778,#2779)); -#4961=EDGE_LOOP('',(#2780,#2781,#2782,#2783)); -#4962=EDGE_LOOP('',(#2784,#2785,#2786,#2787)); -#4963=EDGE_LOOP('',(#2788,#2789,#2790,#2791)); -#4964=EDGE_LOOP('',(#2792,#2793,#2794,#2795)); -#4965=EDGE_LOOP('',(#2796,#2797,#2798,#2799)); -#4966=EDGE_LOOP('',(#2800,#2801,#2802,#2803,#2804,#2805,#2806,#2807,#2808, -#2809,#2810,#2811,#2812)); -#4967=EDGE_LOOP('',(#2813,#2814,#2815,#2816,#2817,#2818,#2819,#2820,#2821, -#2822,#2823,#2824,#2825)); -#4968=EDGE_LOOP('',(#2826,#2827,#2828,#2829)); -#4969=EDGE_LOOP('',(#2830,#2831,#2832,#2833)); -#4970=EDGE_LOOP('',(#2834,#2835,#2836,#2837)); -#4971=EDGE_LOOP('',(#2838,#2839,#2840,#2841)); -#4972=EDGE_LOOP('',(#2842,#2843,#2844,#2845)); -#4973=EDGE_LOOP('',(#2846,#2847,#2848,#2849)); -#4974=EDGE_LOOP('',(#2850,#2851,#2852,#2853)); -#4975=EDGE_LOOP('',(#2854,#2855,#2856,#2857)); -#4976=EDGE_LOOP('',(#2858,#2859,#2860,#2861)); -#4977=EDGE_LOOP('',(#2862,#2863,#2864,#2865)); -#4978=EDGE_LOOP('',(#2866,#2867,#2868,#2869)); -#4979=EDGE_LOOP('',(#2870,#2871,#2872,#2873)); -#4980=EDGE_LOOP('',(#2874,#2875,#2876,#2877)); -#4981=EDGE_LOOP('',(#2878,#2879,#2880,#2881,#2882,#2883,#2884,#2885,#2886, -#2887,#2888,#2889,#2890)); -#4982=EDGE_LOOP('',(#2891,#2892,#2893,#2894,#2895,#2896,#2897,#2898,#2899, -#2900,#2901,#2902,#2903)); -#4983=EDGE_LOOP('',(#2904,#2905,#2906,#2907)); -#4984=EDGE_LOOP('',(#2908,#2909,#2910,#2911)); -#4985=EDGE_LOOP('',(#2912,#2913,#2914,#2915)); -#4986=EDGE_LOOP('',(#2916,#2917,#2918,#2919)); -#4987=EDGE_LOOP('',(#2920,#2921,#2922,#2923)); -#4988=EDGE_LOOP('',(#2924,#2925,#2926,#2927)); -#4989=EDGE_LOOP('',(#2928,#2929,#2930,#2931)); -#4990=EDGE_LOOP('',(#2932,#2933,#2934,#2935,#2936,#2937,#2938)); -#4991=EDGE_LOOP('',(#2939,#2940,#2941,#2942,#2943,#2944,#2945)); -#4992=EDGE_LOOP('',(#2946,#2947,#2948)); -#4993=EDGE_LOOP('',(#2949,#2950,#2951,#2952,#2953,#2954,#2955,#2956,#2957, -#2958)); -#4994=EDGE_LOOP('',(#2959,#2960,#2961,#2962,#2963,#2964,#2965,#2966,#2967, -#2968)); -#4995=EDGE_LOOP('',(#2969,#2970,#2971)); -#4996=EDGE_LOOP('',(#2972,#2973,#2974,#2975)); -#4997=EDGE_LOOP('',(#2976,#2977,#2978,#2979)); -#4998=EDGE_LOOP('',(#2980,#2981,#2982,#2983)); -#4999=EDGE_LOOP('',(#2984,#2985,#2986,#2987)); -#5000=EDGE_LOOP('',(#2988,#2989,#2990)); -#5001=EDGE_LOOP('',(#2991,#2992,#2993,#2994)); -#5002=EDGE_LOOP('',(#2995)); -#5003=EDGE_LOOP('',(#2996,#2997,#2998)); -#5004=EDGE_LOOP('',(#2999)); -#5005=EDGE_LOOP('',(#3000)); -#5006=EDGE_LOOP('',(#3001)); -#5007=EDGE_LOOP('',(#3002,#3003,#3004,#3005,#3006,#3007,#3008,#3009,#3010, -#3011,#3012,#3013)); -#5008=EDGE_LOOP('',(#3014,#3015,#3016,#3017,#3018,#3019)); -#5009=EDGE_LOOP('',(#3020,#3021,#3022,#3023,#3024,#3025)); -#5010=EDGE_LOOP('',(#3026,#3027,#3028,#3029)); -#5011=EDGE_LOOP('',(#3030,#3031,#3032,#3033,#3034,#3035)); -#5012=EDGE_LOOP('',(#3036,#3037,#3038,#3039,#3040,#3041)); -#5013=EDGE_LOOP('',(#3042,#3043,#3044,#3045)); -#5014=EDGE_LOOP('',(#3046,#3047,#3048,#3049)); -#5015=EDGE_LOOP('',(#3050,#3051,#3052,#3053)); -#5016=EDGE_LOOP('',(#3054,#3055,#3056,#3057)); -#5017=EDGE_LOOP('',(#3058,#3059,#3060,#3061,#3062,#3063)); -#5018=EDGE_LOOP('',(#3064,#3065,#3066,#3067)); -#5019=EDGE_LOOP('',(#3068,#3069,#3070,#3071)); -#5020=EDGE_LOOP('',(#3072,#3073,#3074,#3075)); -#5021=EDGE_LOOP('',(#3076,#3077,#3078,#3079)); -#5022=EDGE_LOOP('',(#3080,#3081,#3082,#3083)); -#5023=EDGE_LOOP('',(#3084,#3085,#3086,#3087)); -#5024=EDGE_LOOP('',(#3088,#3089,#3090,#3091,#3092,#3093)); -#5025=EDGE_LOOP('',(#3094,#3095,#3096,#3097)); -#5026=EDGE_LOOP('',(#3098,#3099,#3100,#3101)); -#5027=EDGE_LOOP('',(#3102,#3103,#3104,#3105)); -#5028=EDGE_LOOP('',(#3106,#3107,#3108,#3109)); -#5029=EDGE_LOOP('',(#3110,#3111,#3112,#3113)); -#5030=EDGE_LOOP('',(#3114,#3115,#3116,#3117)); -#5031=EDGE_LOOP('',(#3118,#3119,#3120,#3121,#3122,#3123)); -#5032=EDGE_LOOP('',(#3124,#3125,#3126,#3127)); -#5033=EDGE_LOOP('',(#3128,#3129,#3130,#3131)); -#5034=EDGE_LOOP('',(#3132,#3133,#3134,#3135)); -#5035=EDGE_LOOP('',(#3136,#3137,#3138,#3139)); -#5036=EDGE_LOOP('',(#3140,#3141,#3142,#3143)); -#5037=EDGE_LOOP('',(#3144,#3145,#3146,#3147)); -#5038=EDGE_LOOP('',(#3148,#3149,#3150,#3151)); -#5039=EDGE_LOOP('',(#3152,#3153,#3154,#3155)); -#5040=EDGE_LOOP('',(#3156,#3157,#3158,#3159,#3160,#3161)); -#5041=EDGE_LOOP('',(#3162,#3163,#3164,#3165)); -#5042=EDGE_LOOP('',(#3166,#3167,#3168,#3169,#3170,#3171,#3172,#3173,#3174, -#3175,#3176,#3177)); -#5043=EDGE_LOOP('',(#3178,#3179,#3180,#3181)); -#5044=EDGE_LOOP('',(#3182,#3183,#3184,#3185)); -#5045=EDGE_LOOP('',(#3186,#3187,#3188,#3189)); -#5046=EDGE_LOOP('',(#3190,#3191,#3192,#3193)); -#5047=EDGE_LOOP('',(#3194,#3195,#3196,#3197,#3198,#3199)); -#5048=EDGE_LOOP('',(#3200,#3201,#3202,#3203,#3204,#3205)); -#5049=EDGE_LOOP('',(#3206,#3207,#3208,#3209,#3210,#3211)); -#5050=EDGE_LOOP('',(#3212,#3213,#3214,#3215)); -#5051=EDGE_LOOP('',(#3216,#3217,#3218,#3219,#3220,#3221)); -#5052=EDGE_LOOP('',(#3222,#3223,#3224,#3225)); -#5053=EDGE_LOOP('',(#3226,#3227,#3228,#3229,#3230,#3231,#3232,#3233,#3234, -#3235)); -#5054=EDGE_LOOP('',(#3236,#3237,#3238,#3239,#3240,#3241,#3242,#3243,#3244, -#3245)); -#5055=EDGE_LOOP('',(#3246)); -#5056=EDGE_LOOP('',(#3247)); -#5057=EDGE_LOOP('',(#3248,#3249,#3250,#3251)); -#5058=EDGE_LOOP('',(#3252)); -#5059=EDGE_LOOP('',(#3253,#3254,#3255)); -#5060=EDGE_LOOP('',(#3256)); -#5061=EDGE_LOOP('',(#3257,#3258,#3259)); -#5062=EDGE_LOOP('',(#3260,#3261,#3262)); -#5063=EDGE_LOOP('',(#3263,#3264,#3265)); -#5064=FACE_BOUND('',#4700,.T.); -#5065=FACE_BOUND('',#4701,.T.); -#5066=FACE_BOUND('',#4702,.T.); -#5067=FACE_BOUND('',#4703,.T.); -#5068=FACE_BOUND('',#4704,.T.); -#5069=FACE_BOUND('',#4705,.T.); -#5070=FACE_BOUND('',#4706,.T.); -#5071=FACE_BOUND('',#4707,.T.); -#5072=FACE_BOUND('',#4708,.T.); -#5073=FACE_BOUND('',#4709,.T.); -#5074=FACE_BOUND('',#4710,.T.); -#5075=FACE_BOUND('',#4711,.T.); -#5076=FACE_BOUND('',#4712,.T.); -#5077=FACE_BOUND('',#4713,.T.); -#5078=FACE_BOUND('',#4714,.T.); -#5079=FACE_BOUND('',#4715,.T.); -#5080=FACE_BOUND('',#4716,.T.); -#5081=FACE_BOUND('',#4717,.T.); -#5082=FACE_BOUND('',#4718,.T.); -#5083=FACE_BOUND('',#4719,.T.); -#5084=FACE_BOUND('',#4720,.T.); -#5085=FACE_BOUND('',#4721,.T.); -#5086=FACE_BOUND('',#4722,.T.); -#5087=FACE_BOUND('',#4723,.T.); -#5088=FACE_BOUND('',#4724,.T.); -#5089=FACE_BOUND('',#4725,.T.); -#5090=FACE_BOUND('',#4726,.T.); -#5091=FACE_BOUND('',#4727,.T.); -#5092=FACE_BOUND('',#4728,.T.); -#5093=FACE_BOUND('',#4729,.T.); -#5094=FACE_BOUND('',#4730,.T.); -#5095=FACE_BOUND('',#4731,.T.); -#5096=FACE_BOUND('',#4732,.T.); -#5097=FACE_BOUND('',#4733,.T.); -#5098=FACE_BOUND('',#4734,.T.); -#5099=FACE_BOUND('',#4735,.T.); -#5100=FACE_BOUND('',#4736,.T.); -#5101=FACE_BOUND('',#4737,.T.); -#5102=FACE_BOUND('',#4738,.T.); -#5103=FACE_BOUND('',#4739,.T.); -#5104=FACE_BOUND('',#4740,.T.); -#5105=FACE_BOUND('',#4741,.T.); -#5106=FACE_BOUND('',#4742,.T.); -#5107=FACE_BOUND('',#4743,.T.); -#5108=FACE_BOUND('',#4744,.T.); -#5109=FACE_BOUND('',#4745,.T.); -#5110=FACE_BOUND('',#4746,.T.); -#5111=FACE_BOUND('',#4747,.T.); -#5112=FACE_BOUND('',#4748,.T.); -#5113=FACE_BOUND('',#4749,.T.); -#5114=FACE_BOUND('',#4750,.T.); -#5115=FACE_BOUND('',#4751,.T.); -#5116=FACE_BOUND('',#4752,.T.); -#5117=FACE_BOUND('',#4753,.T.); -#5118=FACE_BOUND('',#4754,.T.); -#5119=FACE_BOUND('',#4755,.T.); -#5120=FACE_BOUND('',#4756,.T.); -#5121=FACE_BOUND('',#4757,.T.); -#5122=FACE_BOUND('',#4758,.T.); -#5123=FACE_BOUND('',#4759,.T.); -#5124=FACE_BOUND('',#4760,.T.); -#5125=FACE_BOUND('',#4761,.T.); -#5126=FACE_BOUND('',#4762,.T.); -#5127=FACE_BOUND('',#4763,.T.); -#5128=FACE_BOUND('',#4764,.T.); -#5129=FACE_BOUND('',#4765,.T.); -#5130=FACE_BOUND('',#4766,.T.); -#5131=FACE_BOUND('',#4767,.T.); -#5132=FACE_BOUND('',#4768,.T.); -#5133=FACE_BOUND('',#4769,.T.); -#5134=FACE_BOUND('',#4770,.T.); -#5135=FACE_BOUND('',#4771,.T.); -#5136=FACE_BOUND('',#4772,.T.); -#5137=FACE_BOUND('',#4773,.T.); -#5138=FACE_BOUND('',#4774,.T.); -#5139=FACE_BOUND('',#4775,.T.); -#5140=FACE_BOUND('',#4776,.T.); -#5141=FACE_BOUND('',#4777,.T.); -#5142=FACE_BOUND('',#4778,.T.); -#5143=FACE_BOUND('',#4779,.T.); -#5144=FACE_BOUND('',#4780,.T.); -#5145=FACE_BOUND('',#4781,.T.); -#5146=FACE_BOUND('',#4782,.T.); -#5147=FACE_BOUND('',#4783,.T.); -#5148=FACE_BOUND('',#4784,.T.); -#5149=FACE_BOUND('',#4785,.T.); -#5150=FACE_BOUND('',#4786,.T.); -#5151=FACE_BOUND('',#4787,.T.); -#5152=FACE_BOUND('',#4788,.T.); -#5153=FACE_BOUND('',#4789,.T.); -#5154=FACE_BOUND('',#4790,.T.); -#5155=FACE_BOUND('',#4791,.T.); -#5156=FACE_BOUND('',#4792,.T.); -#5157=FACE_BOUND('',#4793,.T.); -#5158=FACE_BOUND('',#4794,.T.); -#5159=FACE_BOUND('',#4795,.T.); -#5160=FACE_BOUND('',#4796,.T.); -#5161=FACE_BOUND('',#4797,.T.); -#5162=FACE_BOUND('',#4798,.T.); -#5163=FACE_BOUND('',#4799,.T.); -#5164=FACE_BOUND('',#4800,.T.); -#5165=FACE_BOUND('',#4801,.T.); -#5166=FACE_BOUND('',#4802,.T.); -#5167=FACE_BOUND('',#4803,.T.); -#5168=FACE_BOUND('',#4804,.T.); -#5169=FACE_BOUND('',#4805,.T.); -#5170=FACE_BOUND('',#4806,.T.); -#5171=FACE_BOUND('',#4807,.T.); -#5172=FACE_BOUND('',#4808,.T.); -#5173=FACE_BOUND('',#4809,.T.); -#5174=FACE_BOUND('',#4810,.T.); -#5175=FACE_BOUND('',#4811,.T.); -#5176=FACE_BOUND('',#4812,.T.); -#5177=FACE_BOUND('',#4813,.T.); -#5178=FACE_BOUND('',#4814,.T.); -#5179=FACE_BOUND('',#4815,.T.); -#5180=FACE_BOUND('',#4816,.T.); -#5181=FACE_BOUND('',#4817,.T.); -#5182=FACE_BOUND('',#4818,.T.); -#5183=FACE_BOUND('',#4819,.T.); -#5184=FACE_BOUND('',#4820,.T.); -#5185=FACE_BOUND('',#4821,.T.); -#5186=FACE_BOUND('',#4822,.T.); -#5187=FACE_BOUND('',#4823,.T.); -#5188=FACE_BOUND('',#4824,.T.); -#5189=FACE_BOUND('',#4825,.T.); -#5190=FACE_BOUND('',#4826,.T.); -#5191=FACE_BOUND('',#4827,.T.); -#5192=FACE_BOUND('',#4828,.T.); -#5193=FACE_BOUND('',#4829,.T.); -#5194=FACE_BOUND('',#4830,.T.); -#5195=FACE_BOUND('',#4831,.T.); -#5196=FACE_BOUND('',#4832,.T.); -#5197=FACE_BOUND('',#4833,.T.); -#5198=FACE_BOUND('',#4834,.T.); -#5199=FACE_BOUND('',#4835,.T.); -#5200=FACE_BOUND('',#4836,.T.); -#5201=FACE_BOUND('',#4837,.T.); -#5202=FACE_BOUND('',#4838,.T.); -#5203=FACE_BOUND('',#4839,.T.); -#5204=FACE_BOUND('',#4840,.T.); -#5205=FACE_BOUND('',#4841,.T.); -#5206=FACE_BOUND('',#4842,.T.); -#5207=FACE_BOUND('',#4843,.T.); -#5208=FACE_BOUND('',#4844,.T.); -#5209=FACE_BOUND('',#4845,.T.); -#5210=FACE_BOUND('',#4846,.T.); -#5211=FACE_BOUND('',#4847,.T.); -#5212=FACE_BOUND('',#4848,.T.); -#5213=FACE_BOUND('',#4849,.T.); -#5214=FACE_BOUND('',#4850,.T.); -#5215=FACE_BOUND('',#4851,.T.); -#5216=FACE_BOUND('',#4852,.T.); -#5217=FACE_BOUND('',#4853,.T.); -#5218=FACE_BOUND('',#4854,.T.); -#5219=FACE_BOUND('',#4855,.T.); -#5220=FACE_BOUND('',#4856,.T.); -#5221=FACE_BOUND('',#4857,.T.); -#5222=FACE_BOUND('',#4858,.T.); -#5223=FACE_BOUND('',#4859,.T.); -#5224=FACE_BOUND('',#4860,.T.); -#5225=FACE_BOUND('',#4861,.T.); -#5226=FACE_BOUND('',#4862,.T.); -#5227=FACE_BOUND('',#4863,.T.); -#5228=FACE_BOUND('',#4864,.T.); -#5229=FACE_BOUND('',#4865,.T.); -#5230=FACE_BOUND('',#4866,.T.); -#5231=FACE_BOUND('',#4867,.T.); -#5232=FACE_BOUND('',#4868,.T.); -#5233=FACE_BOUND('',#4869,.T.); -#5234=FACE_BOUND('',#4870,.T.); -#5235=FACE_BOUND('',#4871,.T.); -#5236=FACE_BOUND('',#4872,.T.); -#5237=FACE_BOUND('',#4873,.T.); -#5238=FACE_BOUND('',#4874,.T.); -#5239=FACE_BOUND('',#4875,.T.); -#5240=FACE_BOUND('',#4876,.T.); -#5241=FACE_BOUND('',#4877,.T.); -#5242=FACE_BOUND('',#4878,.T.); -#5243=FACE_BOUND('',#4879,.T.); -#5244=FACE_BOUND('',#4880,.T.); -#5245=FACE_BOUND('',#4881,.T.); -#5246=FACE_BOUND('',#4882,.T.); -#5247=FACE_BOUND('',#4883,.T.); -#5248=FACE_BOUND('',#4884,.T.); -#5249=FACE_BOUND('',#4885,.T.); -#5250=FACE_BOUND('',#4886,.T.); -#5251=FACE_BOUND('',#4887,.T.); -#5252=FACE_BOUND('',#4888,.T.); -#5253=FACE_BOUND('',#4889,.T.); -#5254=FACE_BOUND('',#4890,.T.); -#5255=FACE_BOUND('',#4891,.T.); -#5256=FACE_BOUND('',#4892,.T.); -#5257=FACE_BOUND('',#4893,.T.); -#5258=FACE_BOUND('',#4894,.T.); -#5259=FACE_BOUND('',#4895,.T.); -#5260=FACE_BOUND('',#4896,.T.); -#5261=FACE_BOUND('',#4897,.T.); -#5262=FACE_BOUND('',#4898,.T.); -#5263=FACE_BOUND('',#4899,.T.); -#5264=FACE_BOUND('',#4900,.T.); -#5265=FACE_BOUND('',#4901,.T.); -#5266=FACE_BOUND('',#4902,.T.); -#5267=FACE_BOUND('',#4903,.T.); -#5268=FACE_BOUND('',#4904,.T.); -#5269=FACE_BOUND('',#4905,.T.); -#5270=FACE_BOUND('',#4906,.T.); -#5271=FACE_BOUND('',#4907,.T.); -#5272=FACE_BOUND('',#4908,.T.); -#5273=FACE_BOUND('',#4909,.T.); -#5274=FACE_BOUND('',#4910,.T.); -#5275=FACE_BOUND('',#4911,.T.); -#5276=FACE_BOUND('',#4912,.T.); -#5277=FACE_BOUND('',#4913,.T.); -#5278=FACE_BOUND('',#4914,.T.); -#5279=FACE_BOUND('',#4915,.T.); -#5280=FACE_BOUND('',#4916,.T.); -#5281=FACE_BOUND('',#4917,.T.); -#5282=FACE_BOUND('',#4918,.T.); -#5283=FACE_BOUND('',#4919,.T.); -#5284=FACE_BOUND('',#4920,.T.); -#5285=FACE_BOUND('',#4921,.T.); -#5286=FACE_BOUND('',#4922,.T.); -#5287=FACE_BOUND('',#4923,.T.); -#5288=FACE_BOUND('',#4924,.T.); -#5289=FACE_BOUND('',#4925,.T.); -#5290=FACE_BOUND('',#4926,.T.); -#5291=FACE_BOUND('',#4927,.T.); -#5292=FACE_BOUND('',#4928,.T.); -#5293=FACE_BOUND('',#4929,.T.); -#5294=FACE_BOUND('',#4930,.T.); -#5295=FACE_BOUND('',#4931,.T.); -#5296=FACE_BOUND('',#4932,.T.); -#5297=FACE_BOUND('',#4933,.T.); -#5298=FACE_BOUND('',#4934,.T.); -#5299=FACE_BOUND('',#4935,.T.); -#5300=FACE_BOUND('',#4936,.T.); -#5301=FACE_BOUND('',#4937,.T.); -#5302=FACE_BOUND('',#4938,.T.); -#5303=FACE_BOUND('',#4939,.T.); -#5304=FACE_BOUND('',#4940,.T.); -#5305=FACE_BOUND('',#4941,.T.); -#5306=FACE_BOUND('',#4942,.T.); -#5307=FACE_BOUND('',#4943,.T.); -#5308=FACE_BOUND('',#4944,.T.); -#5309=FACE_BOUND('',#4945,.T.); -#5310=FACE_BOUND('',#4946,.T.); -#5311=FACE_BOUND('',#4947,.T.); -#5312=FACE_BOUND('',#4948,.T.); -#5313=FACE_BOUND('',#4949,.T.); -#5314=FACE_BOUND('',#4950,.T.); -#5315=FACE_BOUND('',#4951,.T.); -#5316=FACE_BOUND('',#4952,.T.); -#5317=FACE_BOUND('',#4953,.T.); -#5318=FACE_BOUND('',#4954,.T.); -#5319=FACE_BOUND('',#4955,.T.); -#5320=FACE_BOUND('',#4956,.T.); -#5321=FACE_BOUND('',#4957,.T.); -#5322=FACE_BOUND('',#4958,.T.); -#5323=FACE_BOUND('',#4959,.T.); -#5324=FACE_BOUND('',#4960,.T.); -#5325=FACE_BOUND('',#4961,.T.); -#5326=FACE_BOUND('',#4962,.T.); -#5327=FACE_BOUND('',#4963,.T.); -#5328=FACE_BOUND('',#4964,.T.); -#5329=FACE_BOUND('',#4965,.T.); -#5330=FACE_BOUND('',#4966,.T.); -#5331=FACE_BOUND('',#4967,.T.); -#5332=FACE_BOUND('',#4968,.T.); -#5333=FACE_BOUND('',#4969,.T.); -#5334=FACE_BOUND('',#4970,.T.); -#5335=FACE_BOUND('',#4971,.T.); -#5336=FACE_BOUND('',#4972,.T.); -#5337=FACE_BOUND('',#4973,.T.); -#5338=FACE_BOUND('',#4974,.T.); -#5339=FACE_BOUND('',#4975,.T.); -#5340=FACE_BOUND('',#4976,.T.); -#5341=FACE_BOUND('',#4977,.T.); -#5342=FACE_BOUND('',#4978,.T.); -#5343=FACE_BOUND('',#4979,.T.); -#5344=FACE_BOUND('',#4980,.T.); -#5345=FACE_BOUND('',#4981,.T.); -#5346=FACE_BOUND('',#4982,.T.); -#5347=FACE_BOUND('',#4983,.T.); -#5348=FACE_BOUND('',#4984,.T.); -#5349=FACE_BOUND('',#4985,.T.); -#5350=FACE_BOUND('',#4986,.T.); -#5351=FACE_BOUND('',#4987,.T.); -#5352=FACE_BOUND('',#4988,.T.); -#5353=FACE_BOUND('',#4989,.T.); -#5354=FACE_BOUND('',#4990,.T.); -#5355=FACE_BOUND('',#4991,.T.); -#5356=FACE_BOUND('',#4992,.T.); -#5357=FACE_BOUND('',#4993,.T.); -#5358=FACE_BOUND('',#4994,.T.); -#5359=FACE_BOUND('',#4995,.T.); -#5360=FACE_BOUND('',#4996,.T.); -#5361=FACE_BOUND('',#4997,.T.); -#5362=FACE_BOUND('',#4998,.T.); -#5363=FACE_BOUND('',#4999,.T.); -#5364=FACE_BOUND('',#5000,.T.); -#5365=FACE_BOUND('',#5001,.T.); -#5366=FACE_BOUND('',#5002,.T.); -#5367=FACE_BOUND('',#5003,.T.); -#5368=FACE_BOUND('',#5004,.T.); -#5369=FACE_BOUND('',#5005,.T.); -#5370=FACE_BOUND('',#5006,.T.); -#5371=FACE_BOUND('',#5007,.T.); -#5372=FACE_BOUND('',#5008,.T.); -#5373=FACE_BOUND('',#5009,.T.); -#5374=FACE_BOUND('',#5010,.T.); -#5375=FACE_BOUND('',#5011,.T.); -#5376=FACE_BOUND('',#5012,.T.); -#5377=FACE_BOUND('',#5013,.T.); -#5378=FACE_BOUND('',#5014,.T.); -#5379=FACE_BOUND('',#5015,.T.); -#5380=FACE_BOUND('',#5016,.T.); -#5381=FACE_BOUND('',#5017,.T.); -#5382=FACE_BOUND('',#5018,.T.); -#5383=FACE_BOUND('',#5019,.T.); -#5384=FACE_BOUND('',#5020,.T.); -#5385=FACE_BOUND('',#5021,.T.); -#5386=FACE_BOUND('',#5022,.T.); -#5387=FACE_BOUND('',#5023,.T.); -#5388=FACE_BOUND('',#5024,.T.); -#5389=FACE_BOUND('',#5025,.T.); -#5390=FACE_BOUND('',#5026,.T.); -#5391=FACE_BOUND('',#5027,.T.); -#5392=FACE_BOUND('',#5028,.T.); -#5393=FACE_BOUND('',#5029,.T.); -#5394=FACE_BOUND('',#5030,.T.); -#5395=FACE_BOUND('',#5031,.T.); -#5396=FACE_BOUND('',#5032,.T.); -#5397=FACE_BOUND('',#5033,.T.); -#5398=FACE_BOUND('',#5034,.T.); -#5399=FACE_BOUND('',#5035,.T.); -#5400=FACE_BOUND('',#5036,.T.); -#5401=FACE_BOUND('',#5037,.T.); -#5402=FACE_BOUND('',#5038,.T.); -#5403=FACE_BOUND('',#5039,.T.); -#5404=FACE_BOUND('',#5040,.T.); -#5405=FACE_BOUND('',#5041,.T.); -#5406=FACE_BOUND('',#5042,.T.); -#5407=FACE_BOUND('',#5043,.T.); -#5408=FACE_BOUND('',#5044,.T.); -#5409=FACE_BOUND('',#5045,.T.); -#5410=FACE_BOUND('',#5046,.T.); -#5411=FACE_BOUND('',#5047,.T.); -#5412=FACE_BOUND('',#5048,.T.); -#5413=FACE_BOUND('',#5049,.T.); -#5414=FACE_BOUND('',#5050,.T.); -#5415=FACE_BOUND('',#5051,.T.); -#5416=FACE_BOUND('',#5052,.T.); -#5417=FACE_BOUND('',#5053,.T.); -#5418=FACE_BOUND('',#5054,.T.); -#5419=FACE_BOUND('',#5055,.T.); -#5420=FACE_BOUND('',#5056,.T.); -#5421=FACE_BOUND('',#5057,.T.); -#5422=FACE_BOUND('',#5058,.T.); -#5423=FACE_BOUND('',#5059,.T.); -#5424=FACE_BOUND('',#5060,.T.); -#5425=FACE_BOUND('',#5061,.T.); -#5426=FACE_BOUND('',#5062,.T.); -#5427=FACE_BOUND('',#5063,.T.); -#5428=CYLINDRICAL_SURFACE('',#7745,1.04122161644845); -#5429=CYLINDRICAL_SURFACE('',#7748,1.04122161644845); -#5430=CYLINDRICAL_SURFACE('',#7802,1.04122161644845); -#5431=CYLINDRICAL_SURFACE('',#7803,1.04122161644845); -#5432=CYLINDRICAL_SURFACE('',#7812,1.04122161644845); -#5433=CYLINDRICAL_SURFACE('',#7813,1.04122161644845); -#5434=CYLINDRICAL_SURFACE('',#7814,1.04122161644845); -#5435=CYLINDRICAL_SURFACE('',#7815,1.04122161644845); -#5436=CYLINDRICAL_SURFACE('',#7863,1.04122161644845); -#5437=CYLINDRICAL_SURFACE('',#7864,1.04122161644845); -#5438=CYLINDRICAL_SURFACE('',#8013,2.921); -#5439=CYLINDRICAL_SURFACE('',#8028,0.371716117072097); -#5440=CYLINDRICAL_SURFACE('',#8030,0.371716117072097); -#5441=CYLINDRICAL_SURFACE('',#8033,0.371716117072097); -#5442=CYLINDRICAL_SURFACE('',#8035,0.371716117072097); -#5443=CYLINDRICAL_SURFACE('',#8036,0.371716117072097); -#5444=CYLINDRICAL_SURFACE('',#8038,0.371716117072097); -#5445=CYLINDRICAL_SURFACE('',#8041,0.371716117072097); -#5446=CYLINDRICAL_SURFACE('',#8043,0.371716117072097); -#5447=CYLINDRICAL_SURFACE('',#8044,0.371716117072097); -#5448=CYLINDRICAL_SURFACE('',#8046,0.371716117072097); -#5449=CYLINDRICAL_SURFACE('',#8049,0.371716117072097); -#5450=CYLINDRICAL_SURFACE('',#8051,0.371716117072097); -#5451=CYLINDRICAL_SURFACE('',#8052,0.371716117072097); -#5452=CYLINDRICAL_SURFACE('',#8054,0.371716117072097); -#5453=CYLINDRICAL_SURFACE('',#8056,0.371716117072097); -#5454=CYLINDRICAL_SURFACE('',#8058,0.371716117072097); -#5455=CYLINDRICAL_SURFACE('',#8084,2.921); -#5456=STYLED_ITEM('',(#5730),#7378); -#5457=STYLED_ITEM('',(#5731),#7379); -#5458=STYLED_ITEM('',(#5732),#7380); -#5459=STYLED_ITEM('',(#5733),#7381); -#5460=STYLED_ITEM('',(#5734),#7382); -#5461=STYLED_ITEM('',(#5735),#7383); -#5462=STYLED_ITEM('',(#5736),#7384); -#5463=STYLED_ITEM('',(#5737),#7385); -#5464=STYLED_ITEM('',(#5738),#7386); -#5465=STYLED_ITEM('',(#5739),#7387); -#5466=STYLED_ITEM('',(#5740),#7388); -#5467=STYLED_ITEM('',(#5741),#7389); -#5468=STYLED_ITEM('',(#5742),#7390); -#5469=STYLED_ITEM('',(#5743),#7391); -#5470=STYLED_ITEM('',(#5744),#7392); -#5471=STYLED_ITEM('',(#5745),#7393); -#5472=STYLED_ITEM('',(#5746),#7394); -#5473=STYLED_ITEM('',(#5747),#7395); -#5474=STYLED_ITEM('',(#5748),#7396); -#5475=STYLED_ITEM('',(#5749),#7397); -#5476=STYLED_ITEM('',(#5750),#7398); -#5477=STYLED_ITEM('',(#5751),#7399); -#5478=STYLED_ITEM('',(#5752),#7400); -#5479=STYLED_ITEM('',(#5753),#7401); -#5480=STYLED_ITEM('',(#5754),#7402); -#5481=STYLED_ITEM('',(#5755),#7403); -#5482=STYLED_ITEM('',(#5756),#7404); -#5483=STYLED_ITEM('',(#5757),#7405); -#5484=STYLED_ITEM('',(#5758),#7406); -#5485=STYLED_ITEM('',(#5759),#7407); -#5486=STYLED_ITEM('',(#5760),#7408); -#5487=STYLED_ITEM('',(#5761),#7409); -#5488=STYLED_ITEM('',(#5762),#7410); -#5489=STYLED_ITEM('',(#5763),#7411); -#5490=STYLED_ITEM('',(#5764),#7412); -#5491=STYLED_ITEM('',(#5765),#7413); -#5492=STYLED_ITEM('',(#5766),#7414); -#5493=STYLED_ITEM('',(#5767),#7415); -#5494=STYLED_ITEM('',(#5768),#7416); -#5495=STYLED_ITEM('',(#5769),#7417); -#5496=STYLED_ITEM('',(#5770),#7418); -#5497=STYLED_ITEM('',(#5771),#7419); -#5498=STYLED_ITEM('',(#5772),#7420); -#5499=STYLED_ITEM('',(#5773),#7421); -#5500=STYLED_ITEM('',(#5774),#7422); -#5501=STYLED_ITEM('',(#5775),#7423); -#5502=STYLED_ITEM('',(#5776),#7424); -#5503=STYLED_ITEM('',(#5777),#7425); -#5504=STYLED_ITEM('',(#5778),#7426); -#5505=STYLED_ITEM('',(#5779),#7427); -#5506=STYLED_ITEM('',(#5780),#7428); -#5507=STYLED_ITEM('',(#5781),#7429); -#5508=STYLED_ITEM('',(#5782),#7430); -#5509=STYLED_ITEM('',(#5783),#7431); -#5510=STYLED_ITEM('',(#5784),#7432); -#5511=STYLED_ITEM('',(#5785),#7433); -#5512=STYLED_ITEM('',(#5786),#7434); -#5513=STYLED_ITEM('',(#5787),#7435); -#5514=STYLED_ITEM('',(#5788),#7436); -#5515=STYLED_ITEM('',(#5789),#7437); -#5516=STYLED_ITEM('',(#5790),#7438); -#5517=STYLED_ITEM('',(#5791),#7439); -#5518=STYLED_ITEM('',(#5792),#7440); -#5519=STYLED_ITEM('',(#5793),#7441); -#5520=STYLED_ITEM('',(#5794),#7442); -#5521=STYLED_ITEM('',(#5795),#7443); -#5522=STYLED_ITEM('',(#5796),#7444); -#5523=STYLED_ITEM('',(#5797),#7445); -#5524=STYLED_ITEM('',(#5798),#7446); -#5525=STYLED_ITEM('',(#5799),#7447); -#5526=STYLED_ITEM('',(#5800),#7448); -#5527=STYLED_ITEM('',(#5801),#7449); -#5528=STYLED_ITEM('',(#5802),#7450); -#5529=STYLED_ITEM('',(#5803),#7451); -#5530=STYLED_ITEM('',(#5804),#7452); -#5531=STYLED_ITEM('',(#5805),#7453); -#5532=STYLED_ITEM('',(#5806),#7454); -#5533=STYLED_ITEM('',(#5807),#7455); -#5534=STYLED_ITEM('',(#5808),#7456); -#5535=STYLED_ITEM('',(#5809),#7457); -#5536=STYLED_ITEM('',(#5810),#7458); -#5537=STYLED_ITEM('',(#5811),#7459); -#5538=STYLED_ITEM('',(#5812),#7460); -#5539=STYLED_ITEM('',(#5813),#7461); -#5540=STYLED_ITEM('',(#5814),#7462); -#5541=STYLED_ITEM('',(#5815),#7463); -#5542=STYLED_ITEM('',(#5816),#7464); -#5543=STYLED_ITEM('',(#5817),#7465); -#5544=STYLED_ITEM('',(#5818),#7466); -#5545=STYLED_ITEM('',(#5819),#7467); -#5546=STYLED_ITEM('',(#5820),#7468); -#5547=STYLED_ITEM('',(#5821),#7469); -#5548=STYLED_ITEM('',(#5822),#7470); -#5549=STYLED_ITEM('',(#5823),#7471); -#5550=STYLED_ITEM('',(#5824),#7472); -#5551=STYLED_ITEM('',(#5825),#7473); -#5552=STYLED_ITEM('',(#5826),#7474); -#5553=STYLED_ITEM('',(#5827),#7475); -#5554=STYLED_ITEM('',(#5828),#7476); -#5555=STYLED_ITEM('',(#5829),#7477); -#5556=STYLED_ITEM('',(#5830),#7478); -#5557=STYLED_ITEM('',(#5831),#7479); -#5558=STYLED_ITEM('',(#5832),#7480); -#5559=STYLED_ITEM('',(#5833),#7481); -#5560=STYLED_ITEM('',(#5834),#7482); -#5561=STYLED_ITEM('',(#5835),#7483); -#5562=STYLED_ITEM('',(#5836),#7484); -#5563=STYLED_ITEM('',(#5837),#7485); -#5564=STYLED_ITEM('',(#5838),#7486); -#5565=STYLED_ITEM('',(#5839),#7487); -#5566=STYLED_ITEM('',(#5840),#7488); -#5567=STYLED_ITEM('',(#5841),#7489); -#5568=STYLED_ITEM('',(#5842),#7490); -#5569=STYLED_ITEM('',(#5843),#7491); -#5570=STYLED_ITEM('',(#5844),#7492); -#5571=STYLED_ITEM('',(#5845),#7493); -#5572=STYLED_ITEM('',(#5846),#7494); -#5573=STYLED_ITEM('',(#5847),#7495); -#5574=STYLED_ITEM('',(#5848),#7496); -#5575=STYLED_ITEM('',(#5849),#7497); -#5576=STYLED_ITEM('',(#5850),#7498); -#5577=STYLED_ITEM('',(#5851),#7499); -#5578=STYLED_ITEM('',(#5852),#7500); -#5579=STYLED_ITEM('',(#5853),#7501); -#5580=STYLED_ITEM('',(#5854),#7502); -#5581=STYLED_ITEM('',(#5855),#7503); -#5582=STYLED_ITEM('',(#5856),#7504); -#5583=STYLED_ITEM('',(#5857),#7505); -#5584=STYLED_ITEM('',(#5858),#7506); -#5585=STYLED_ITEM('',(#5859),#7507); -#5586=STYLED_ITEM('',(#5860),#7508); -#5587=STYLED_ITEM('',(#5861),#7509); -#5588=STYLED_ITEM('',(#5862),#7510); -#5589=STYLED_ITEM('',(#5863),#7511); -#5590=STYLED_ITEM('',(#5864),#7512); -#5591=STYLED_ITEM('',(#5865),#7513); -#5592=STYLED_ITEM('',(#5866),#7514); -#5593=STYLED_ITEM('',(#5867),#7515); -#5594=STYLED_ITEM('',(#5868),#7516); -#5595=STYLED_ITEM('',(#5869),#7517); -#5596=STYLED_ITEM('',(#5870),#7518); -#5597=STYLED_ITEM('',(#5871),#7519); -#5598=STYLED_ITEM('',(#5872),#7520); -#5599=STYLED_ITEM('',(#5873),#7521); -#5600=STYLED_ITEM('',(#5874),#7522); -#5601=STYLED_ITEM('',(#5875),#7523); -#5602=STYLED_ITEM('',(#5876),#7524); -#5603=STYLED_ITEM('',(#5877),#7525); -#5604=STYLED_ITEM('',(#5878),#7526); -#5605=STYLED_ITEM('',(#5879),#7527); -#5606=STYLED_ITEM('',(#5880),#7528); -#5607=STYLED_ITEM('',(#5881),#7529); -#5608=STYLED_ITEM('',(#5882),#7530); -#5609=STYLED_ITEM('',(#5883),#7531); -#5610=STYLED_ITEM('',(#5884),#7532); -#5611=STYLED_ITEM('',(#5885),#7533); -#5612=STYLED_ITEM('',(#5886),#7534); -#5613=STYLED_ITEM('',(#5887),#7535); -#5614=STYLED_ITEM('',(#5888),#7536); -#5615=STYLED_ITEM('',(#5889),#7537); -#5616=STYLED_ITEM('',(#5890),#7538); -#5617=STYLED_ITEM('',(#5891),#7539); -#5618=STYLED_ITEM('',(#5892),#7540); -#5619=STYLED_ITEM('',(#5893),#7541); -#5620=STYLED_ITEM('',(#5894),#7542); -#5621=STYLED_ITEM('',(#5895),#7543); -#5622=STYLED_ITEM('',(#5896),#7544); -#5623=STYLED_ITEM('',(#5897),#7545); -#5624=STYLED_ITEM('',(#5898),#7546); -#5625=STYLED_ITEM('',(#5899),#7547); -#5626=STYLED_ITEM('',(#5900),#7548); -#5627=STYLED_ITEM('',(#5901),#7549); -#5628=STYLED_ITEM('',(#5902),#7550); -#5629=STYLED_ITEM('',(#5903),#7551); -#5630=STYLED_ITEM('',(#5904),#7552); -#5631=STYLED_ITEM('',(#5905),#7553); -#5632=STYLED_ITEM('',(#5906),#7554); -#5633=STYLED_ITEM('',(#5907),#7555); -#5634=STYLED_ITEM('',(#5908),#7556); -#5635=STYLED_ITEM('',(#5909),#7557); -#5636=STYLED_ITEM('',(#5910),#7558); -#5637=STYLED_ITEM('',(#5911),#7559); -#5638=STYLED_ITEM('',(#5912),#7560); -#5639=STYLED_ITEM('',(#5913),#7561); -#5640=STYLED_ITEM('',(#5914),#7562); -#5641=STYLED_ITEM('',(#5915),#7563); -#5642=STYLED_ITEM('',(#5916),#7564); -#5643=STYLED_ITEM('',(#5917),#7565); -#5644=STYLED_ITEM('',(#5918),#7566); -#5645=STYLED_ITEM('',(#5919),#7567); -#5646=STYLED_ITEM('',(#5920),#7568); -#5647=STYLED_ITEM('',(#5921),#7569); -#5648=STYLED_ITEM('',(#5922),#7570); -#5649=STYLED_ITEM('',(#5923),#7571); -#5650=STYLED_ITEM('',(#5924),#7572); -#5651=STYLED_ITEM('',(#5925),#7573); -#5652=STYLED_ITEM('',(#5926),#7574); -#5653=STYLED_ITEM('',(#5927),#7575); -#5654=STYLED_ITEM('',(#5928),#7576); -#5655=STYLED_ITEM('',(#5929),#7577); -#5656=STYLED_ITEM('',(#5930),#7578); -#5657=STYLED_ITEM('',(#5931),#7579); -#5658=STYLED_ITEM('',(#5932),#7580); -#5659=STYLED_ITEM('',(#5933),#7581); -#5660=STYLED_ITEM('',(#5934),#7582); -#5661=STYLED_ITEM('',(#5935),#7583); -#5662=STYLED_ITEM('',(#5936),#7584); -#5663=STYLED_ITEM('',(#5937),#7585); -#5664=STYLED_ITEM('',(#5938),#7586); -#5665=STYLED_ITEM('',(#5939),#7587); -#5666=STYLED_ITEM('',(#5940),#7588); -#5667=STYLED_ITEM('',(#5941),#7589); -#5668=STYLED_ITEM('',(#5942),#7590); -#5669=STYLED_ITEM('',(#5943),#7591); -#5670=STYLED_ITEM('',(#5944),#7592); -#5671=STYLED_ITEM('',(#5945),#7593); -#5672=STYLED_ITEM('',(#5946),#7594); -#5673=STYLED_ITEM('',(#5947),#7595); -#5674=STYLED_ITEM('',(#5948),#7596); -#5675=STYLED_ITEM('',(#5949),#7597); -#5676=STYLED_ITEM('',(#5950),#7598); -#5677=STYLED_ITEM('',(#5951),#7599); -#5678=STYLED_ITEM('',(#5952),#7600); -#5679=STYLED_ITEM('',(#5953),#7601); -#5680=STYLED_ITEM('',(#5954),#7602); -#5681=STYLED_ITEM('',(#5955),#7603); -#5682=STYLED_ITEM('',(#5956),#7604); -#5683=STYLED_ITEM('',(#5957),#7605); -#5684=STYLED_ITEM('',(#5958),#7606); -#5685=STYLED_ITEM('',(#5959),#7607); -#5686=STYLED_ITEM('',(#5960),#7608); -#5687=STYLED_ITEM('',(#5961),#7609); -#5688=STYLED_ITEM('',(#5962),#7610); -#5689=STYLED_ITEM('',(#5963),#7611); -#5690=STYLED_ITEM('',(#5964),#7612); -#5691=STYLED_ITEM('',(#5965),#7613); -#5692=STYLED_ITEM('',(#5966),#7614); -#5693=STYLED_ITEM('',(#5967),#7615); -#5694=STYLED_ITEM('',(#5968),#7616); -#5695=STYLED_ITEM('',(#5969),#7617); -#5696=STYLED_ITEM('',(#5970),#7618); -#5697=STYLED_ITEM('',(#5971),#7619); -#5698=STYLED_ITEM('',(#5972),#7620); -#5699=STYLED_ITEM('',(#5973),#7621); -#5700=STYLED_ITEM('',(#5974),#7622); -#5701=STYLED_ITEM('',(#5975),#7623); -#5702=STYLED_ITEM('',(#5976),#7624); -#5703=STYLED_ITEM('',(#5977),#7625); -#5704=STYLED_ITEM('',(#5978),#7626); -#5705=STYLED_ITEM('',(#5979),#7627); -#5706=STYLED_ITEM('',(#5980),#7628); -#5707=STYLED_ITEM('',(#5981),#7629); -#5708=STYLED_ITEM('',(#5982),#7630); -#5709=STYLED_ITEM('',(#5983),#7631); -#5710=STYLED_ITEM('',(#5984),#7632); -#5711=STYLED_ITEM('',(#5985),#7633); -#5712=STYLED_ITEM('',(#5986),#7634); -#5713=STYLED_ITEM('',(#5987),#7635); -#5714=STYLED_ITEM('',(#5988),#7636); -#5715=STYLED_ITEM('',(#5989),#7637); -#5716=STYLED_ITEM('',(#5990),#7638); -#5717=STYLED_ITEM('',(#5991),#7639); -#5718=STYLED_ITEM('',(#5992),#7640); -#5719=STYLED_ITEM('',(#5993),#7641); -#5720=STYLED_ITEM('',(#5994),#7642); -#5721=STYLED_ITEM('',(#5995),#7643); -#5722=STYLED_ITEM('',(#5996),#7644); -#5723=STYLED_ITEM('',(#5997),#7645); -#5724=STYLED_ITEM('',(#5998),#7646); -#5725=STYLED_ITEM('',(#5999),#7647); -#5726=STYLED_ITEM('',(#6000),#7648); -#5727=STYLED_ITEM('',(#6001),#7649); -#5728=STYLED_ITEM('',(#6002),#44); -#5729=STYLED_ITEM('',(#6003),#45); -#5730=PRESENTATION_STYLE_ASSIGNMENT((#6004)); -#5731=PRESENTATION_STYLE_ASSIGNMENT((#6005)); -#5732=PRESENTATION_STYLE_ASSIGNMENT((#6006)); -#5733=PRESENTATION_STYLE_ASSIGNMENT((#6007)); -#5734=PRESENTATION_STYLE_ASSIGNMENT((#6008)); -#5735=PRESENTATION_STYLE_ASSIGNMENT((#6009)); -#5736=PRESENTATION_STYLE_ASSIGNMENT((#6010)); -#5737=PRESENTATION_STYLE_ASSIGNMENT((#6011)); -#5738=PRESENTATION_STYLE_ASSIGNMENT((#6012)); -#5739=PRESENTATION_STYLE_ASSIGNMENT((#6013)); -#5740=PRESENTATION_STYLE_ASSIGNMENT((#6014)); -#5741=PRESENTATION_STYLE_ASSIGNMENT((#6015)); -#5742=PRESENTATION_STYLE_ASSIGNMENT((#6016)); -#5743=PRESENTATION_STYLE_ASSIGNMENT((#6017)); -#5744=PRESENTATION_STYLE_ASSIGNMENT((#6018)); -#5745=PRESENTATION_STYLE_ASSIGNMENT((#6019)); -#5746=PRESENTATION_STYLE_ASSIGNMENT((#6020)); -#5747=PRESENTATION_STYLE_ASSIGNMENT((#6021)); -#5748=PRESENTATION_STYLE_ASSIGNMENT((#6022)); -#5749=PRESENTATION_STYLE_ASSIGNMENT((#6023)); -#5750=PRESENTATION_STYLE_ASSIGNMENT((#6024)); -#5751=PRESENTATION_STYLE_ASSIGNMENT((#6025)); -#5752=PRESENTATION_STYLE_ASSIGNMENT((#6026)); -#5753=PRESENTATION_STYLE_ASSIGNMENT((#6027)); -#5754=PRESENTATION_STYLE_ASSIGNMENT((#6028)); -#5755=PRESENTATION_STYLE_ASSIGNMENT((#6029)); -#5756=PRESENTATION_STYLE_ASSIGNMENT((#6030)); -#5757=PRESENTATION_STYLE_ASSIGNMENT((#6031)); -#5758=PRESENTATION_STYLE_ASSIGNMENT((#6032)); -#5759=PRESENTATION_STYLE_ASSIGNMENT((#6033)); -#5760=PRESENTATION_STYLE_ASSIGNMENT((#6034)); -#5761=PRESENTATION_STYLE_ASSIGNMENT((#6035)); -#5762=PRESENTATION_STYLE_ASSIGNMENT((#6036)); -#5763=PRESENTATION_STYLE_ASSIGNMENT((#6037)); -#5764=PRESENTATION_STYLE_ASSIGNMENT((#6038)); -#5765=PRESENTATION_STYLE_ASSIGNMENT((#6039)); -#5766=PRESENTATION_STYLE_ASSIGNMENT((#6040)); -#5767=PRESENTATION_STYLE_ASSIGNMENT((#6041)); -#5768=PRESENTATION_STYLE_ASSIGNMENT((#6042)); -#5769=PRESENTATION_STYLE_ASSIGNMENT((#6043)); -#5770=PRESENTATION_STYLE_ASSIGNMENT((#6044)); -#5771=PRESENTATION_STYLE_ASSIGNMENT((#6045)); -#5772=PRESENTATION_STYLE_ASSIGNMENT((#6046)); -#5773=PRESENTATION_STYLE_ASSIGNMENT((#6047)); -#5774=PRESENTATION_STYLE_ASSIGNMENT((#6048)); -#5775=PRESENTATION_STYLE_ASSIGNMENT((#6049)); -#5776=PRESENTATION_STYLE_ASSIGNMENT((#6050)); -#5777=PRESENTATION_STYLE_ASSIGNMENT((#6051)); -#5778=PRESENTATION_STYLE_ASSIGNMENT((#6052)); -#5779=PRESENTATION_STYLE_ASSIGNMENT((#6053)); -#5780=PRESENTATION_STYLE_ASSIGNMENT((#6054)); -#5781=PRESENTATION_STYLE_ASSIGNMENT((#6055)); -#5782=PRESENTATION_STYLE_ASSIGNMENT((#6056)); -#5783=PRESENTATION_STYLE_ASSIGNMENT((#6057)); -#5784=PRESENTATION_STYLE_ASSIGNMENT((#6058)); -#5785=PRESENTATION_STYLE_ASSIGNMENT((#6059)); -#5786=PRESENTATION_STYLE_ASSIGNMENT((#6060)); -#5787=PRESENTATION_STYLE_ASSIGNMENT((#6061)); -#5788=PRESENTATION_STYLE_ASSIGNMENT((#6062)); -#5789=PRESENTATION_STYLE_ASSIGNMENT((#6063)); -#5790=PRESENTATION_STYLE_ASSIGNMENT((#6064)); -#5791=PRESENTATION_STYLE_ASSIGNMENT((#6065)); -#5792=PRESENTATION_STYLE_ASSIGNMENT((#6066)); -#5793=PRESENTATION_STYLE_ASSIGNMENT((#6067)); -#5794=PRESENTATION_STYLE_ASSIGNMENT((#6068)); -#5795=PRESENTATION_STYLE_ASSIGNMENT((#6069)); -#5796=PRESENTATION_STYLE_ASSIGNMENT((#6070)); -#5797=PRESENTATION_STYLE_ASSIGNMENT((#6071)); -#5798=PRESENTATION_STYLE_ASSIGNMENT((#6072)); -#5799=PRESENTATION_STYLE_ASSIGNMENT((#6073)); -#5800=PRESENTATION_STYLE_ASSIGNMENT((#6074)); -#5801=PRESENTATION_STYLE_ASSIGNMENT((#6075)); -#5802=PRESENTATION_STYLE_ASSIGNMENT((#6076)); -#5803=PRESENTATION_STYLE_ASSIGNMENT((#6077)); -#5804=PRESENTATION_STYLE_ASSIGNMENT((#6078)); -#5805=PRESENTATION_STYLE_ASSIGNMENT((#6079)); -#5806=PRESENTATION_STYLE_ASSIGNMENT((#6080)); -#5807=PRESENTATION_STYLE_ASSIGNMENT((#6081)); -#5808=PRESENTATION_STYLE_ASSIGNMENT((#6082)); -#5809=PRESENTATION_STYLE_ASSIGNMENT((#6083)); -#5810=PRESENTATION_STYLE_ASSIGNMENT((#6084)); -#5811=PRESENTATION_STYLE_ASSIGNMENT((#6085)); -#5812=PRESENTATION_STYLE_ASSIGNMENT((#6086)); -#5813=PRESENTATION_STYLE_ASSIGNMENT((#6087)); -#5814=PRESENTATION_STYLE_ASSIGNMENT((#6088)); -#5815=PRESENTATION_STYLE_ASSIGNMENT((#6089)); -#5816=PRESENTATION_STYLE_ASSIGNMENT((#6090)); -#5817=PRESENTATION_STYLE_ASSIGNMENT((#6091)); -#5818=PRESENTATION_STYLE_ASSIGNMENT((#6092)); -#5819=PRESENTATION_STYLE_ASSIGNMENT((#6093)); -#5820=PRESENTATION_STYLE_ASSIGNMENT((#6094)); -#5821=PRESENTATION_STYLE_ASSIGNMENT((#6095)); -#5822=PRESENTATION_STYLE_ASSIGNMENT((#6096)); -#5823=PRESENTATION_STYLE_ASSIGNMENT((#6097)); -#5824=PRESENTATION_STYLE_ASSIGNMENT((#6098)); -#5825=PRESENTATION_STYLE_ASSIGNMENT((#6099)); -#5826=PRESENTATION_STYLE_ASSIGNMENT((#6100)); -#5827=PRESENTATION_STYLE_ASSIGNMENT((#6101)); -#5828=PRESENTATION_STYLE_ASSIGNMENT((#6102)); -#5829=PRESENTATION_STYLE_ASSIGNMENT((#6103)); -#5830=PRESENTATION_STYLE_ASSIGNMENT((#6104)); -#5831=PRESENTATION_STYLE_ASSIGNMENT((#6105)); -#5832=PRESENTATION_STYLE_ASSIGNMENT((#6106)); -#5833=PRESENTATION_STYLE_ASSIGNMENT((#6107)); -#5834=PRESENTATION_STYLE_ASSIGNMENT((#6108)); -#5835=PRESENTATION_STYLE_ASSIGNMENT((#6109)); -#5836=PRESENTATION_STYLE_ASSIGNMENT((#6110)); -#5837=PRESENTATION_STYLE_ASSIGNMENT((#6111)); -#5838=PRESENTATION_STYLE_ASSIGNMENT((#6112)); -#5839=PRESENTATION_STYLE_ASSIGNMENT((#6113)); -#5840=PRESENTATION_STYLE_ASSIGNMENT((#6114)); -#5841=PRESENTATION_STYLE_ASSIGNMENT((#6115)); -#5842=PRESENTATION_STYLE_ASSIGNMENT((#6116)); -#5843=PRESENTATION_STYLE_ASSIGNMENT((#6117)); -#5844=PRESENTATION_STYLE_ASSIGNMENT((#6118)); -#5845=PRESENTATION_STYLE_ASSIGNMENT((#6119)); -#5846=PRESENTATION_STYLE_ASSIGNMENT((#6120)); -#5847=PRESENTATION_STYLE_ASSIGNMENT((#6121)); -#5848=PRESENTATION_STYLE_ASSIGNMENT((#6122)); -#5849=PRESENTATION_STYLE_ASSIGNMENT((#6123)); -#5850=PRESENTATION_STYLE_ASSIGNMENT((#6124)); -#5851=PRESENTATION_STYLE_ASSIGNMENT((#6125)); -#5852=PRESENTATION_STYLE_ASSIGNMENT((#6126)); -#5853=PRESENTATION_STYLE_ASSIGNMENT((#6127)); -#5854=PRESENTATION_STYLE_ASSIGNMENT((#6128)); -#5855=PRESENTATION_STYLE_ASSIGNMENT((#6129)); -#5856=PRESENTATION_STYLE_ASSIGNMENT((#6130)); -#5857=PRESENTATION_STYLE_ASSIGNMENT((#6131)); -#5858=PRESENTATION_STYLE_ASSIGNMENT((#6132)); -#5859=PRESENTATION_STYLE_ASSIGNMENT((#6133)); -#5860=PRESENTATION_STYLE_ASSIGNMENT((#6134)); -#5861=PRESENTATION_STYLE_ASSIGNMENT((#6135)); -#5862=PRESENTATION_STYLE_ASSIGNMENT((#6136)); -#5863=PRESENTATION_STYLE_ASSIGNMENT((#6137)); -#5864=PRESENTATION_STYLE_ASSIGNMENT((#6138)); -#5865=PRESENTATION_STYLE_ASSIGNMENT((#6139)); -#5866=PRESENTATION_STYLE_ASSIGNMENT((#6140)); -#5867=PRESENTATION_STYLE_ASSIGNMENT((#6141)); -#5868=PRESENTATION_STYLE_ASSIGNMENT((#6142)); -#5869=PRESENTATION_STYLE_ASSIGNMENT((#6143)); -#5870=PRESENTATION_STYLE_ASSIGNMENT((#6144)); -#5871=PRESENTATION_STYLE_ASSIGNMENT((#6145)); -#5872=PRESENTATION_STYLE_ASSIGNMENT((#6146)); -#5873=PRESENTATION_STYLE_ASSIGNMENT((#6147)); -#5874=PRESENTATION_STYLE_ASSIGNMENT((#6148)); -#5875=PRESENTATION_STYLE_ASSIGNMENT((#6149)); -#5876=PRESENTATION_STYLE_ASSIGNMENT((#6150)); -#5877=PRESENTATION_STYLE_ASSIGNMENT((#6151)); -#5878=PRESENTATION_STYLE_ASSIGNMENT((#6152)); -#5879=PRESENTATION_STYLE_ASSIGNMENT((#6153)); -#5880=PRESENTATION_STYLE_ASSIGNMENT((#6154)); -#5881=PRESENTATION_STYLE_ASSIGNMENT((#6155)); -#5882=PRESENTATION_STYLE_ASSIGNMENT((#6156)); -#5883=PRESENTATION_STYLE_ASSIGNMENT((#6157)); -#5884=PRESENTATION_STYLE_ASSIGNMENT((#6158)); -#5885=PRESENTATION_STYLE_ASSIGNMENT((#6159)); -#5886=PRESENTATION_STYLE_ASSIGNMENT((#6160)); -#5887=PRESENTATION_STYLE_ASSIGNMENT((#6161)); -#5888=PRESENTATION_STYLE_ASSIGNMENT((#6162)); -#5889=PRESENTATION_STYLE_ASSIGNMENT((#6163)); -#5890=PRESENTATION_STYLE_ASSIGNMENT((#6164)); -#5891=PRESENTATION_STYLE_ASSIGNMENT((#6165)); -#5892=PRESENTATION_STYLE_ASSIGNMENT((#6166)); -#5893=PRESENTATION_STYLE_ASSIGNMENT((#6167)); -#5894=PRESENTATION_STYLE_ASSIGNMENT((#6168)); -#5895=PRESENTATION_STYLE_ASSIGNMENT((#6169)); -#5896=PRESENTATION_STYLE_ASSIGNMENT((#6170)); -#5897=PRESENTATION_STYLE_ASSIGNMENT((#6171)); -#5898=PRESENTATION_STYLE_ASSIGNMENT((#6172)); -#5899=PRESENTATION_STYLE_ASSIGNMENT((#6173)); -#5900=PRESENTATION_STYLE_ASSIGNMENT((#6174)); -#5901=PRESENTATION_STYLE_ASSIGNMENT((#6175)); -#5902=PRESENTATION_STYLE_ASSIGNMENT((#6176)); -#5903=PRESENTATION_STYLE_ASSIGNMENT((#6177)); -#5904=PRESENTATION_STYLE_ASSIGNMENT((#6178)); -#5905=PRESENTATION_STYLE_ASSIGNMENT((#6179)); -#5906=PRESENTATION_STYLE_ASSIGNMENT((#6180)); -#5907=PRESENTATION_STYLE_ASSIGNMENT((#6181)); -#5908=PRESENTATION_STYLE_ASSIGNMENT((#6182)); -#5909=PRESENTATION_STYLE_ASSIGNMENT((#6183)); -#5910=PRESENTATION_STYLE_ASSIGNMENT((#6184)); -#5911=PRESENTATION_STYLE_ASSIGNMENT((#6185)); -#5912=PRESENTATION_STYLE_ASSIGNMENT((#6186)); -#5913=PRESENTATION_STYLE_ASSIGNMENT((#6187)); -#5914=PRESENTATION_STYLE_ASSIGNMENT((#6188)); -#5915=PRESENTATION_STYLE_ASSIGNMENT((#6189)); -#5916=PRESENTATION_STYLE_ASSIGNMENT((#6190)); -#5917=PRESENTATION_STYLE_ASSIGNMENT((#6191)); -#5918=PRESENTATION_STYLE_ASSIGNMENT((#6192)); -#5919=PRESENTATION_STYLE_ASSIGNMENT((#6193)); -#5920=PRESENTATION_STYLE_ASSIGNMENT((#6194)); -#5921=PRESENTATION_STYLE_ASSIGNMENT((#6195)); -#5922=PRESENTATION_STYLE_ASSIGNMENT((#6196)); -#5923=PRESENTATION_STYLE_ASSIGNMENT((#6197)); -#5924=PRESENTATION_STYLE_ASSIGNMENT((#6198)); -#5925=PRESENTATION_STYLE_ASSIGNMENT((#6199)); -#5926=PRESENTATION_STYLE_ASSIGNMENT((#6200)); -#5927=PRESENTATION_STYLE_ASSIGNMENT((#6201)); -#5928=PRESENTATION_STYLE_ASSIGNMENT((#6202)); -#5929=PRESENTATION_STYLE_ASSIGNMENT((#6203)); -#5930=PRESENTATION_STYLE_ASSIGNMENT((#6204)); -#5931=PRESENTATION_STYLE_ASSIGNMENT((#6205)); -#5932=PRESENTATION_STYLE_ASSIGNMENT((#6206)); -#5933=PRESENTATION_STYLE_ASSIGNMENT((#6207)); -#5934=PRESENTATION_STYLE_ASSIGNMENT((#6208)); -#5935=PRESENTATION_STYLE_ASSIGNMENT((#6209)); -#5936=PRESENTATION_STYLE_ASSIGNMENT((#6210)); -#5937=PRESENTATION_STYLE_ASSIGNMENT((#6211)); -#5938=PRESENTATION_STYLE_ASSIGNMENT((#6212)); -#5939=PRESENTATION_STYLE_ASSIGNMENT((#6213)); -#5940=PRESENTATION_STYLE_ASSIGNMENT((#6214)); -#5941=PRESENTATION_STYLE_ASSIGNMENT((#6215)); -#5942=PRESENTATION_STYLE_ASSIGNMENT((#6216)); -#5943=PRESENTATION_STYLE_ASSIGNMENT((#6217)); -#5944=PRESENTATION_STYLE_ASSIGNMENT((#6218)); -#5945=PRESENTATION_STYLE_ASSIGNMENT((#6219)); -#5946=PRESENTATION_STYLE_ASSIGNMENT((#6220)); -#5947=PRESENTATION_STYLE_ASSIGNMENT((#6221)); -#5948=PRESENTATION_STYLE_ASSIGNMENT((#6222)); -#5949=PRESENTATION_STYLE_ASSIGNMENT((#6223)); -#5950=PRESENTATION_STYLE_ASSIGNMENT((#6224)); -#5951=PRESENTATION_STYLE_ASSIGNMENT((#6225)); -#5952=PRESENTATION_STYLE_ASSIGNMENT((#6226)); -#5953=PRESENTATION_STYLE_ASSIGNMENT((#6227)); -#5954=PRESENTATION_STYLE_ASSIGNMENT((#6228)); -#5955=PRESENTATION_STYLE_ASSIGNMENT((#6229)); -#5956=PRESENTATION_STYLE_ASSIGNMENT((#6230)); -#5957=PRESENTATION_STYLE_ASSIGNMENT((#6231)); -#5958=PRESENTATION_STYLE_ASSIGNMENT((#6232)); -#5959=PRESENTATION_STYLE_ASSIGNMENT((#6233)); -#5960=PRESENTATION_STYLE_ASSIGNMENT((#6234)); -#5961=PRESENTATION_STYLE_ASSIGNMENT((#6235)); -#5962=PRESENTATION_STYLE_ASSIGNMENT((#6236)); -#5963=PRESENTATION_STYLE_ASSIGNMENT((#6237)); -#5964=PRESENTATION_STYLE_ASSIGNMENT((#6238)); -#5965=PRESENTATION_STYLE_ASSIGNMENT((#6239)); -#5966=PRESENTATION_STYLE_ASSIGNMENT((#6240)); -#5967=PRESENTATION_STYLE_ASSIGNMENT((#6241)); -#5968=PRESENTATION_STYLE_ASSIGNMENT((#6242)); -#5969=PRESENTATION_STYLE_ASSIGNMENT((#6243)); -#5970=PRESENTATION_STYLE_ASSIGNMENT((#6244)); -#5971=PRESENTATION_STYLE_ASSIGNMENT((#6245)); -#5972=PRESENTATION_STYLE_ASSIGNMENT((#6246)); -#5973=PRESENTATION_STYLE_ASSIGNMENT((#6247)); -#5974=PRESENTATION_STYLE_ASSIGNMENT((#6248)); -#5975=PRESENTATION_STYLE_ASSIGNMENT((#6249)); -#5976=PRESENTATION_STYLE_ASSIGNMENT((#6250)); -#5977=PRESENTATION_STYLE_ASSIGNMENT((#6251)); -#5978=PRESENTATION_STYLE_ASSIGNMENT((#6252)); -#5979=PRESENTATION_STYLE_ASSIGNMENT((#6253)); -#5980=PRESENTATION_STYLE_ASSIGNMENT((#6254)); -#5981=PRESENTATION_STYLE_ASSIGNMENT((#6255)); -#5982=PRESENTATION_STYLE_ASSIGNMENT((#6256)); -#5983=PRESENTATION_STYLE_ASSIGNMENT((#6257)); -#5984=PRESENTATION_STYLE_ASSIGNMENT((#6258)); -#5985=PRESENTATION_STYLE_ASSIGNMENT((#6259)); -#5986=PRESENTATION_STYLE_ASSIGNMENT((#6260)); -#5987=PRESENTATION_STYLE_ASSIGNMENT((#6261)); -#5988=PRESENTATION_STYLE_ASSIGNMENT((#6262)); -#5989=PRESENTATION_STYLE_ASSIGNMENT((#6263)); -#5990=PRESENTATION_STYLE_ASSIGNMENT((#6264)); -#5991=PRESENTATION_STYLE_ASSIGNMENT((#6265)); -#5992=PRESENTATION_STYLE_ASSIGNMENT((#6266)); -#5993=PRESENTATION_STYLE_ASSIGNMENT((#6267)); -#5994=PRESENTATION_STYLE_ASSIGNMENT((#6268)); -#5995=PRESENTATION_STYLE_ASSIGNMENT((#6269)); -#5996=PRESENTATION_STYLE_ASSIGNMENT((#6270)); -#5997=PRESENTATION_STYLE_ASSIGNMENT((#6271)); -#5998=PRESENTATION_STYLE_ASSIGNMENT((#6272)); -#5999=PRESENTATION_STYLE_ASSIGNMENT((#6273)); -#6000=PRESENTATION_STYLE_ASSIGNMENT((#6274)); -#6001=PRESENTATION_STYLE_ASSIGNMENT((#6275)); -#6002=PRESENTATION_STYLE_ASSIGNMENT((#6276)); -#6003=PRESENTATION_STYLE_ASSIGNMENT((#6277)); -#6004=SURFACE_STYLE_USAGE(.BOTH.,#6278); -#6005=SURFACE_STYLE_USAGE(.BOTH.,#6279); -#6006=SURFACE_STYLE_USAGE(.BOTH.,#6280); -#6007=SURFACE_STYLE_USAGE(.BOTH.,#6281); -#6008=SURFACE_STYLE_USAGE(.BOTH.,#6282); -#6009=SURFACE_STYLE_USAGE(.BOTH.,#6283); -#6010=SURFACE_STYLE_USAGE(.BOTH.,#6284); -#6011=SURFACE_STYLE_USAGE(.BOTH.,#6285); -#6012=SURFACE_STYLE_USAGE(.BOTH.,#6286); -#6013=SURFACE_STYLE_USAGE(.BOTH.,#6287); -#6014=SURFACE_STYLE_USAGE(.BOTH.,#6288); -#6015=SURFACE_STYLE_USAGE(.BOTH.,#6289); -#6016=SURFACE_STYLE_USAGE(.BOTH.,#6290); -#6017=SURFACE_STYLE_USAGE(.BOTH.,#6291); -#6018=SURFACE_STYLE_USAGE(.BOTH.,#6292); -#6019=SURFACE_STYLE_USAGE(.BOTH.,#6293); -#6020=SURFACE_STYLE_USAGE(.BOTH.,#6294); -#6021=SURFACE_STYLE_USAGE(.BOTH.,#6295); -#6022=SURFACE_STYLE_USAGE(.BOTH.,#6296); -#6023=SURFACE_STYLE_USAGE(.BOTH.,#6297); -#6024=SURFACE_STYLE_USAGE(.BOTH.,#6298); -#6025=SURFACE_STYLE_USAGE(.BOTH.,#6299); -#6026=SURFACE_STYLE_USAGE(.BOTH.,#6300); -#6027=SURFACE_STYLE_USAGE(.BOTH.,#6301); -#6028=SURFACE_STYLE_USAGE(.BOTH.,#6302); -#6029=SURFACE_STYLE_USAGE(.BOTH.,#6303); -#6030=SURFACE_STYLE_USAGE(.BOTH.,#6304); -#6031=SURFACE_STYLE_USAGE(.BOTH.,#6305); -#6032=SURFACE_STYLE_USAGE(.BOTH.,#6306); -#6033=SURFACE_STYLE_USAGE(.BOTH.,#6307); -#6034=SURFACE_STYLE_USAGE(.BOTH.,#6308); -#6035=SURFACE_STYLE_USAGE(.BOTH.,#6309); -#6036=SURFACE_STYLE_USAGE(.BOTH.,#6310); -#6037=SURFACE_STYLE_USAGE(.BOTH.,#6311); -#6038=SURFACE_STYLE_USAGE(.BOTH.,#6312); -#6039=SURFACE_STYLE_USAGE(.BOTH.,#6313); -#6040=SURFACE_STYLE_USAGE(.BOTH.,#6314); -#6041=SURFACE_STYLE_USAGE(.BOTH.,#6315); -#6042=SURFACE_STYLE_USAGE(.BOTH.,#6316); -#6043=SURFACE_STYLE_USAGE(.BOTH.,#6317); -#6044=SURFACE_STYLE_USAGE(.BOTH.,#6318); -#6045=SURFACE_STYLE_USAGE(.BOTH.,#6319); -#6046=SURFACE_STYLE_USAGE(.BOTH.,#6320); -#6047=SURFACE_STYLE_USAGE(.BOTH.,#6321); -#6048=SURFACE_STYLE_USAGE(.BOTH.,#6322); -#6049=SURFACE_STYLE_USAGE(.BOTH.,#6323); -#6050=SURFACE_STYLE_USAGE(.BOTH.,#6324); -#6051=SURFACE_STYLE_USAGE(.BOTH.,#6325); -#6052=SURFACE_STYLE_USAGE(.BOTH.,#6326); -#6053=SURFACE_STYLE_USAGE(.BOTH.,#6327); -#6054=SURFACE_STYLE_USAGE(.BOTH.,#6328); -#6055=SURFACE_STYLE_USAGE(.BOTH.,#6329); -#6056=SURFACE_STYLE_USAGE(.BOTH.,#6330); -#6057=SURFACE_STYLE_USAGE(.BOTH.,#6331); -#6058=SURFACE_STYLE_USAGE(.BOTH.,#6332); -#6059=SURFACE_STYLE_USAGE(.BOTH.,#6333); -#6060=SURFACE_STYLE_USAGE(.BOTH.,#6334); -#6061=SURFACE_STYLE_USAGE(.BOTH.,#6335); -#6062=SURFACE_STYLE_USAGE(.BOTH.,#6336); -#6063=SURFACE_STYLE_USAGE(.BOTH.,#6337); -#6064=SURFACE_STYLE_USAGE(.BOTH.,#6338); -#6065=SURFACE_STYLE_USAGE(.BOTH.,#6339); -#6066=SURFACE_STYLE_USAGE(.BOTH.,#6340); -#6067=SURFACE_STYLE_USAGE(.BOTH.,#6341); -#6068=SURFACE_STYLE_USAGE(.BOTH.,#6342); -#6069=SURFACE_STYLE_USAGE(.BOTH.,#6343); -#6070=SURFACE_STYLE_USAGE(.BOTH.,#6344); -#6071=SURFACE_STYLE_USAGE(.BOTH.,#6345); -#6072=SURFACE_STYLE_USAGE(.BOTH.,#6346); -#6073=SURFACE_STYLE_USAGE(.BOTH.,#6347); -#6074=SURFACE_STYLE_USAGE(.BOTH.,#6348); -#6075=SURFACE_STYLE_USAGE(.BOTH.,#6349); -#6076=SURFACE_STYLE_USAGE(.BOTH.,#6350); -#6077=SURFACE_STYLE_USAGE(.BOTH.,#6351); -#6078=SURFACE_STYLE_USAGE(.BOTH.,#6352); -#6079=SURFACE_STYLE_USAGE(.BOTH.,#6353); -#6080=SURFACE_STYLE_USAGE(.BOTH.,#6354); -#6081=SURFACE_STYLE_USAGE(.BOTH.,#6355); -#6082=SURFACE_STYLE_USAGE(.BOTH.,#6356); -#6083=SURFACE_STYLE_USAGE(.BOTH.,#6357); -#6084=SURFACE_STYLE_USAGE(.BOTH.,#6358); -#6085=SURFACE_STYLE_USAGE(.BOTH.,#6359); -#6086=SURFACE_STYLE_USAGE(.BOTH.,#6360); -#6087=SURFACE_STYLE_USAGE(.BOTH.,#6361); -#6088=SURFACE_STYLE_USAGE(.BOTH.,#6362); -#6089=SURFACE_STYLE_USAGE(.BOTH.,#6363); -#6090=SURFACE_STYLE_USAGE(.BOTH.,#6364); -#6091=SURFACE_STYLE_USAGE(.BOTH.,#6365); -#6092=SURFACE_STYLE_USAGE(.BOTH.,#6366); -#6093=SURFACE_STYLE_USAGE(.BOTH.,#6367); -#6094=SURFACE_STYLE_USAGE(.BOTH.,#6368); -#6095=SURFACE_STYLE_USAGE(.BOTH.,#6369); -#6096=SURFACE_STYLE_USAGE(.BOTH.,#6370); -#6097=SURFACE_STYLE_USAGE(.BOTH.,#6371); -#6098=SURFACE_STYLE_USAGE(.BOTH.,#6372); -#6099=SURFACE_STYLE_USAGE(.BOTH.,#6373); -#6100=SURFACE_STYLE_USAGE(.BOTH.,#6374); -#6101=SURFACE_STYLE_USAGE(.BOTH.,#6375); -#6102=SURFACE_STYLE_USAGE(.BOTH.,#6376); -#6103=SURFACE_STYLE_USAGE(.BOTH.,#6377); -#6104=SURFACE_STYLE_USAGE(.BOTH.,#6378); -#6105=SURFACE_STYLE_USAGE(.BOTH.,#6379); -#6106=SURFACE_STYLE_USAGE(.BOTH.,#6380); -#6107=SURFACE_STYLE_USAGE(.BOTH.,#6381); -#6108=SURFACE_STYLE_USAGE(.BOTH.,#6382); -#6109=SURFACE_STYLE_USAGE(.BOTH.,#6383); -#6110=SURFACE_STYLE_USAGE(.BOTH.,#6384); -#6111=SURFACE_STYLE_USAGE(.BOTH.,#6385); -#6112=SURFACE_STYLE_USAGE(.BOTH.,#6386); -#6113=SURFACE_STYLE_USAGE(.BOTH.,#6387); -#6114=SURFACE_STYLE_USAGE(.BOTH.,#6388); -#6115=SURFACE_STYLE_USAGE(.BOTH.,#6389); -#6116=SURFACE_STYLE_USAGE(.BOTH.,#6390); -#6117=SURFACE_STYLE_USAGE(.BOTH.,#6391); -#6118=SURFACE_STYLE_USAGE(.BOTH.,#6392); -#6119=SURFACE_STYLE_USAGE(.BOTH.,#6393); -#6120=SURFACE_STYLE_USAGE(.BOTH.,#6394); -#6121=SURFACE_STYLE_USAGE(.BOTH.,#6395); -#6122=SURFACE_STYLE_USAGE(.BOTH.,#6396); -#6123=SURFACE_STYLE_USAGE(.BOTH.,#6397); -#6124=SURFACE_STYLE_USAGE(.BOTH.,#6398); -#6125=SURFACE_STYLE_USAGE(.BOTH.,#6399); -#6126=SURFACE_STYLE_USAGE(.BOTH.,#6400); -#6127=SURFACE_STYLE_USAGE(.BOTH.,#6401); -#6128=SURFACE_STYLE_USAGE(.BOTH.,#6402); -#6129=SURFACE_STYLE_USAGE(.BOTH.,#6403); -#6130=SURFACE_STYLE_USAGE(.BOTH.,#6404); -#6131=SURFACE_STYLE_USAGE(.BOTH.,#6405); -#6132=SURFACE_STYLE_USAGE(.BOTH.,#6406); -#6133=SURFACE_STYLE_USAGE(.BOTH.,#6407); -#6134=SURFACE_STYLE_USAGE(.BOTH.,#6408); -#6135=SURFACE_STYLE_USAGE(.BOTH.,#6409); -#6136=SURFACE_STYLE_USAGE(.BOTH.,#6410); -#6137=SURFACE_STYLE_USAGE(.BOTH.,#6411); -#6138=SURFACE_STYLE_USAGE(.BOTH.,#6412); -#6139=SURFACE_STYLE_USAGE(.BOTH.,#6413); -#6140=SURFACE_STYLE_USAGE(.BOTH.,#6414); -#6141=SURFACE_STYLE_USAGE(.BOTH.,#6415); -#6142=SURFACE_STYLE_USAGE(.BOTH.,#6416); -#6143=SURFACE_STYLE_USAGE(.BOTH.,#6417); -#6144=SURFACE_STYLE_USAGE(.BOTH.,#6418); -#6145=SURFACE_STYLE_USAGE(.BOTH.,#6419); -#6146=SURFACE_STYLE_USAGE(.BOTH.,#6420); -#6147=SURFACE_STYLE_USAGE(.BOTH.,#6421); -#6148=SURFACE_STYLE_USAGE(.BOTH.,#6422); -#6149=SURFACE_STYLE_USAGE(.BOTH.,#6423); -#6150=SURFACE_STYLE_USAGE(.BOTH.,#6424); -#6151=SURFACE_STYLE_USAGE(.BOTH.,#6425); -#6152=SURFACE_STYLE_USAGE(.BOTH.,#6426); -#6153=SURFACE_STYLE_USAGE(.BOTH.,#6427); -#6154=SURFACE_STYLE_USAGE(.BOTH.,#6428); -#6155=SURFACE_STYLE_USAGE(.BOTH.,#6429); -#6156=SURFACE_STYLE_USAGE(.BOTH.,#6430); -#6157=SURFACE_STYLE_USAGE(.BOTH.,#6431); -#6158=SURFACE_STYLE_USAGE(.BOTH.,#6432); -#6159=SURFACE_STYLE_USAGE(.BOTH.,#6433); -#6160=SURFACE_STYLE_USAGE(.BOTH.,#6434); -#6161=SURFACE_STYLE_USAGE(.BOTH.,#6435); -#6162=SURFACE_STYLE_USAGE(.BOTH.,#6436); -#6163=SURFACE_STYLE_USAGE(.BOTH.,#6437); -#6164=SURFACE_STYLE_USAGE(.BOTH.,#6438); -#6165=SURFACE_STYLE_USAGE(.BOTH.,#6439); -#6166=SURFACE_STYLE_USAGE(.BOTH.,#6440); -#6167=SURFACE_STYLE_USAGE(.BOTH.,#6441); -#6168=SURFACE_STYLE_USAGE(.BOTH.,#6442); -#6169=SURFACE_STYLE_USAGE(.BOTH.,#6443); -#6170=SURFACE_STYLE_USAGE(.BOTH.,#6444); -#6171=SURFACE_STYLE_USAGE(.BOTH.,#6445); -#6172=SURFACE_STYLE_USAGE(.BOTH.,#6446); -#6173=SURFACE_STYLE_USAGE(.BOTH.,#6447); -#6174=SURFACE_STYLE_USAGE(.BOTH.,#6448); -#6175=SURFACE_STYLE_USAGE(.BOTH.,#6449); -#6176=SURFACE_STYLE_USAGE(.BOTH.,#6450); -#6177=SURFACE_STYLE_USAGE(.BOTH.,#6451); -#6178=SURFACE_STYLE_USAGE(.BOTH.,#6452); -#6179=SURFACE_STYLE_USAGE(.BOTH.,#6453); -#6180=SURFACE_STYLE_USAGE(.BOTH.,#6454); -#6181=SURFACE_STYLE_USAGE(.BOTH.,#6455); -#6182=SURFACE_STYLE_USAGE(.BOTH.,#6456); -#6183=SURFACE_STYLE_USAGE(.BOTH.,#6457); -#6184=SURFACE_STYLE_USAGE(.BOTH.,#6458); -#6185=SURFACE_STYLE_USAGE(.BOTH.,#6459); -#6186=SURFACE_STYLE_USAGE(.BOTH.,#6460); -#6187=SURFACE_STYLE_USAGE(.BOTH.,#6461); -#6188=SURFACE_STYLE_USAGE(.BOTH.,#6462); -#6189=SURFACE_STYLE_USAGE(.BOTH.,#6463); -#6190=SURFACE_STYLE_USAGE(.BOTH.,#6464); -#6191=SURFACE_STYLE_USAGE(.BOTH.,#6465); -#6192=SURFACE_STYLE_USAGE(.BOTH.,#6466); -#6193=SURFACE_STYLE_USAGE(.BOTH.,#6467); -#6194=SURFACE_STYLE_USAGE(.BOTH.,#6468); -#6195=SURFACE_STYLE_USAGE(.BOTH.,#6469); -#6196=SURFACE_STYLE_USAGE(.BOTH.,#6470); -#6197=SURFACE_STYLE_USAGE(.BOTH.,#6471); -#6198=SURFACE_STYLE_USAGE(.BOTH.,#6472); -#6199=SURFACE_STYLE_USAGE(.BOTH.,#6473); -#6200=SURFACE_STYLE_USAGE(.BOTH.,#6474); -#6201=SURFACE_STYLE_USAGE(.BOTH.,#6475); -#6202=SURFACE_STYLE_USAGE(.BOTH.,#6476); -#6203=SURFACE_STYLE_USAGE(.BOTH.,#6477); -#6204=SURFACE_STYLE_USAGE(.BOTH.,#6478); -#6205=SURFACE_STYLE_USAGE(.BOTH.,#6479); -#6206=SURFACE_STYLE_USAGE(.BOTH.,#6480); -#6207=SURFACE_STYLE_USAGE(.BOTH.,#6481); -#6208=SURFACE_STYLE_USAGE(.BOTH.,#6482); -#6209=SURFACE_STYLE_USAGE(.BOTH.,#6483); -#6210=SURFACE_STYLE_USAGE(.BOTH.,#6484); -#6211=SURFACE_STYLE_USAGE(.BOTH.,#6485); -#6212=SURFACE_STYLE_USAGE(.BOTH.,#6486); -#6213=SURFACE_STYLE_USAGE(.BOTH.,#6487); -#6214=SURFACE_STYLE_USAGE(.BOTH.,#6488); -#6215=SURFACE_STYLE_USAGE(.BOTH.,#6489); -#6216=SURFACE_STYLE_USAGE(.BOTH.,#6490); -#6217=SURFACE_STYLE_USAGE(.BOTH.,#6491); -#6218=SURFACE_STYLE_USAGE(.BOTH.,#6492); -#6219=SURFACE_STYLE_USAGE(.BOTH.,#6493); -#6220=SURFACE_STYLE_USAGE(.BOTH.,#6494); -#6221=SURFACE_STYLE_USAGE(.BOTH.,#6495); -#6222=SURFACE_STYLE_USAGE(.BOTH.,#6496); -#6223=SURFACE_STYLE_USAGE(.BOTH.,#6497); -#6224=SURFACE_STYLE_USAGE(.BOTH.,#6498); -#6225=SURFACE_STYLE_USAGE(.BOTH.,#6499); -#6226=SURFACE_STYLE_USAGE(.BOTH.,#6500); -#6227=SURFACE_STYLE_USAGE(.BOTH.,#6501); -#6228=SURFACE_STYLE_USAGE(.BOTH.,#6502); -#6229=SURFACE_STYLE_USAGE(.BOTH.,#6503); -#6230=SURFACE_STYLE_USAGE(.BOTH.,#6504); -#6231=SURFACE_STYLE_USAGE(.BOTH.,#6505); -#6232=SURFACE_STYLE_USAGE(.BOTH.,#6506); -#6233=SURFACE_STYLE_USAGE(.BOTH.,#6507); -#6234=SURFACE_STYLE_USAGE(.BOTH.,#6508); -#6235=SURFACE_STYLE_USAGE(.BOTH.,#6509); -#6236=SURFACE_STYLE_USAGE(.BOTH.,#6510); -#6237=SURFACE_STYLE_USAGE(.BOTH.,#6511); -#6238=SURFACE_STYLE_USAGE(.BOTH.,#6512); -#6239=SURFACE_STYLE_USAGE(.BOTH.,#6513); -#6240=SURFACE_STYLE_USAGE(.BOTH.,#6514); -#6241=SURFACE_STYLE_USAGE(.BOTH.,#6515); -#6242=SURFACE_STYLE_USAGE(.BOTH.,#6516); -#6243=SURFACE_STYLE_USAGE(.BOTH.,#6517); -#6244=SURFACE_STYLE_USAGE(.BOTH.,#6518); -#6245=SURFACE_STYLE_USAGE(.BOTH.,#6519); -#6246=SURFACE_STYLE_USAGE(.BOTH.,#6520); -#6247=SURFACE_STYLE_USAGE(.BOTH.,#6521); -#6248=SURFACE_STYLE_USAGE(.BOTH.,#6522); -#6249=SURFACE_STYLE_USAGE(.BOTH.,#6523); -#6250=SURFACE_STYLE_USAGE(.BOTH.,#6524); -#6251=SURFACE_STYLE_USAGE(.BOTH.,#6525); -#6252=SURFACE_STYLE_USAGE(.BOTH.,#6526); -#6253=SURFACE_STYLE_USAGE(.BOTH.,#6527); -#6254=SURFACE_STYLE_USAGE(.BOTH.,#6528); -#6255=SURFACE_STYLE_USAGE(.BOTH.,#6529); -#6256=SURFACE_STYLE_USAGE(.BOTH.,#6530); -#6257=SURFACE_STYLE_USAGE(.BOTH.,#6531); -#6258=SURFACE_STYLE_USAGE(.BOTH.,#6532); -#6259=SURFACE_STYLE_USAGE(.BOTH.,#6533); -#6260=SURFACE_STYLE_USAGE(.BOTH.,#6534); -#6261=SURFACE_STYLE_USAGE(.BOTH.,#6535); -#6262=SURFACE_STYLE_USAGE(.BOTH.,#6536); -#6263=SURFACE_STYLE_USAGE(.BOTH.,#6537); -#6264=SURFACE_STYLE_USAGE(.BOTH.,#6538); -#6265=SURFACE_STYLE_USAGE(.BOTH.,#6539); -#6266=SURFACE_STYLE_USAGE(.BOTH.,#6540); -#6267=SURFACE_STYLE_USAGE(.BOTH.,#6541); -#6268=SURFACE_STYLE_USAGE(.BOTH.,#6542); -#6269=SURFACE_STYLE_USAGE(.BOTH.,#6543); -#6270=SURFACE_STYLE_USAGE(.BOTH.,#6544); -#6271=SURFACE_STYLE_USAGE(.BOTH.,#6545); -#6272=SURFACE_STYLE_USAGE(.BOTH.,#6546); -#6273=SURFACE_STYLE_USAGE(.BOTH.,#6547); -#6274=SURFACE_STYLE_USAGE(.BOTH.,#6548); -#6275=SURFACE_STYLE_USAGE(.BOTH.,#6549); -#6276=SURFACE_STYLE_USAGE(.BOTH.,#6550); -#6277=SURFACE_STYLE_USAGE(.BOTH.,#6551); -#6278=SURFACE_SIDE_STYLE('',(#6552)); -#6279=SURFACE_SIDE_STYLE('',(#6553)); -#6280=SURFACE_SIDE_STYLE('',(#6554)); -#6281=SURFACE_SIDE_STYLE('',(#6555)); -#6282=SURFACE_SIDE_STYLE('',(#6556)); -#6283=SURFACE_SIDE_STYLE('',(#6557)); -#6284=SURFACE_SIDE_STYLE('',(#6558)); -#6285=SURFACE_SIDE_STYLE('',(#6559)); -#6286=SURFACE_SIDE_STYLE('',(#6560)); -#6287=SURFACE_SIDE_STYLE('',(#6561)); -#6288=SURFACE_SIDE_STYLE('',(#6562)); -#6289=SURFACE_SIDE_STYLE('',(#6563)); -#6290=SURFACE_SIDE_STYLE('',(#6564)); -#6291=SURFACE_SIDE_STYLE('',(#6565)); -#6292=SURFACE_SIDE_STYLE('',(#6566)); -#6293=SURFACE_SIDE_STYLE('',(#6567)); -#6294=SURFACE_SIDE_STYLE('',(#6568)); -#6295=SURFACE_SIDE_STYLE('',(#6569)); -#6296=SURFACE_SIDE_STYLE('',(#6570)); -#6297=SURFACE_SIDE_STYLE('',(#6571)); -#6298=SURFACE_SIDE_STYLE('',(#6572)); -#6299=SURFACE_SIDE_STYLE('',(#6573)); -#6300=SURFACE_SIDE_STYLE('',(#6574)); -#6301=SURFACE_SIDE_STYLE('',(#6575)); -#6302=SURFACE_SIDE_STYLE('',(#6576)); -#6303=SURFACE_SIDE_STYLE('',(#6577)); -#6304=SURFACE_SIDE_STYLE('',(#6578)); -#6305=SURFACE_SIDE_STYLE('',(#6579)); -#6306=SURFACE_SIDE_STYLE('',(#6580)); -#6307=SURFACE_SIDE_STYLE('',(#6581)); -#6308=SURFACE_SIDE_STYLE('',(#6582)); -#6309=SURFACE_SIDE_STYLE('',(#6583)); -#6310=SURFACE_SIDE_STYLE('',(#6584)); -#6311=SURFACE_SIDE_STYLE('',(#6585)); -#6312=SURFACE_SIDE_STYLE('',(#6586)); -#6313=SURFACE_SIDE_STYLE('',(#6587)); -#6314=SURFACE_SIDE_STYLE('',(#6588)); -#6315=SURFACE_SIDE_STYLE('',(#6589)); -#6316=SURFACE_SIDE_STYLE('',(#6590)); -#6317=SURFACE_SIDE_STYLE('',(#6591)); -#6318=SURFACE_SIDE_STYLE('',(#6592)); -#6319=SURFACE_SIDE_STYLE('',(#6593)); -#6320=SURFACE_SIDE_STYLE('',(#6594)); -#6321=SURFACE_SIDE_STYLE('',(#6595)); -#6322=SURFACE_SIDE_STYLE('',(#6596)); -#6323=SURFACE_SIDE_STYLE('',(#6597)); -#6324=SURFACE_SIDE_STYLE('',(#6598)); -#6325=SURFACE_SIDE_STYLE('',(#6599)); -#6326=SURFACE_SIDE_STYLE('',(#6600)); -#6327=SURFACE_SIDE_STYLE('',(#6601)); -#6328=SURFACE_SIDE_STYLE('',(#6602)); -#6329=SURFACE_SIDE_STYLE('',(#6603)); -#6330=SURFACE_SIDE_STYLE('',(#6604)); -#6331=SURFACE_SIDE_STYLE('',(#6605)); -#6332=SURFACE_SIDE_STYLE('',(#6606)); -#6333=SURFACE_SIDE_STYLE('',(#6607)); -#6334=SURFACE_SIDE_STYLE('',(#6608)); -#6335=SURFACE_SIDE_STYLE('',(#6609)); -#6336=SURFACE_SIDE_STYLE('',(#6610)); -#6337=SURFACE_SIDE_STYLE('',(#6611)); -#6338=SURFACE_SIDE_STYLE('',(#6612)); -#6339=SURFACE_SIDE_STYLE('',(#6613)); -#6340=SURFACE_SIDE_STYLE('',(#6614)); -#6341=SURFACE_SIDE_STYLE('',(#6615)); -#6342=SURFACE_SIDE_STYLE('',(#6616)); -#6343=SURFACE_SIDE_STYLE('',(#6617)); -#6344=SURFACE_SIDE_STYLE('',(#6618)); -#6345=SURFACE_SIDE_STYLE('',(#6619)); -#6346=SURFACE_SIDE_STYLE('',(#6620)); -#6347=SURFACE_SIDE_STYLE('',(#6621)); -#6348=SURFACE_SIDE_STYLE('',(#6622)); -#6349=SURFACE_SIDE_STYLE('',(#6623)); -#6350=SURFACE_SIDE_STYLE('',(#6624)); -#6351=SURFACE_SIDE_STYLE('',(#6625)); -#6352=SURFACE_SIDE_STYLE('',(#6626)); -#6353=SURFACE_SIDE_STYLE('',(#6627)); -#6354=SURFACE_SIDE_STYLE('',(#6628)); -#6355=SURFACE_SIDE_STYLE('',(#6629)); -#6356=SURFACE_SIDE_STYLE('',(#6630)); -#6357=SURFACE_SIDE_STYLE('',(#6631)); -#6358=SURFACE_SIDE_STYLE('',(#6632)); -#6359=SURFACE_SIDE_STYLE('',(#6633)); -#6360=SURFACE_SIDE_STYLE('',(#6634)); -#6361=SURFACE_SIDE_STYLE('',(#6635)); -#6362=SURFACE_SIDE_STYLE('',(#6636)); -#6363=SURFACE_SIDE_STYLE('',(#6637)); -#6364=SURFACE_SIDE_STYLE('',(#6638)); -#6365=SURFACE_SIDE_STYLE('',(#6639)); -#6366=SURFACE_SIDE_STYLE('',(#6640)); -#6367=SURFACE_SIDE_STYLE('',(#6641)); -#6368=SURFACE_SIDE_STYLE('',(#6642)); -#6369=SURFACE_SIDE_STYLE('',(#6643)); -#6370=SURFACE_SIDE_STYLE('',(#6644)); -#6371=SURFACE_SIDE_STYLE('',(#6645)); -#6372=SURFACE_SIDE_STYLE('',(#6646)); -#6373=SURFACE_SIDE_STYLE('',(#6647)); -#6374=SURFACE_SIDE_STYLE('',(#6648)); -#6375=SURFACE_SIDE_STYLE('',(#6649)); -#6376=SURFACE_SIDE_STYLE('',(#6650)); -#6377=SURFACE_SIDE_STYLE('',(#6651)); -#6378=SURFACE_SIDE_STYLE('',(#6652)); -#6379=SURFACE_SIDE_STYLE('',(#6653)); -#6380=SURFACE_SIDE_STYLE('',(#6654)); -#6381=SURFACE_SIDE_STYLE('',(#6655)); -#6382=SURFACE_SIDE_STYLE('',(#6656)); -#6383=SURFACE_SIDE_STYLE('',(#6657)); -#6384=SURFACE_SIDE_STYLE('',(#6658)); -#6385=SURFACE_SIDE_STYLE('',(#6659)); -#6386=SURFACE_SIDE_STYLE('',(#6660)); -#6387=SURFACE_SIDE_STYLE('',(#6661)); -#6388=SURFACE_SIDE_STYLE('',(#6662)); -#6389=SURFACE_SIDE_STYLE('',(#6663)); -#6390=SURFACE_SIDE_STYLE('',(#6664)); -#6391=SURFACE_SIDE_STYLE('',(#6665)); -#6392=SURFACE_SIDE_STYLE('',(#6666)); -#6393=SURFACE_SIDE_STYLE('',(#6667)); -#6394=SURFACE_SIDE_STYLE('',(#6668)); -#6395=SURFACE_SIDE_STYLE('',(#6669)); -#6396=SURFACE_SIDE_STYLE('',(#6670)); -#6397=SURFACE_SIDE_STYLE('',(#6671)); -#6398=SURFACE_SIDE_STYLE('',(#6672)); -#6399=SURFACE_SIDE_STYLE('',(#6673)); -#6400=SURFACE_SIDE_STYLE('',(#6674)); -#6401=SURFACE_SIDE_STYLE('',(#6675)); -#6402=SURFACE_SIDE_STYLE('',(#6676)); -#6403=SURFACE_SIDE_STYLE('',(#6677)); -#6404=SURFACE_SIDE_STYLE('',(#6678)); -#6405=SURFACE_SIDE_STYLE('',(#6679)); -#6406=SURFACE_SIDE_STYLE('',(#6680)); -#6407=SURFACE_SIDE_STYLE('',(#6681)); -#6408=SURFACE_SIDE_STYLE('',(#6682)); -#6409=SURFACE_SIDE_STYLE('',(#6683)); -#6410=SURFACE_SIDE_STYLE('',(#6684)); -#6411=SURFACE_SIDE_STYLE('',(#6685)); -#6412=SURFACE_SIDE_STYLE('',(#6686)); -#6413=SURFACE_SIDE_STYLE('',(#6687)); -#6414=SURFACE_SIDE_STYLE('',(#6688)); -#6415=SURFACE_SIDE_STYLE('',(#6689)); -#6416=SURFACE_SIDE_STYLE('',(#6690)); -#6417=SURFACE_SIDE_STYLE('',(#6691)); -#6418=SURFACE_SIDE_STYLE('',(#6692)); -#6419=SURFACE_SIDE_STYLE('',(#6693)); -#6420=SURFACE_SIDE_STYLE('',(#6694)); -#6421=SURFACE_SIDE_STYLE('',(#6695)); -#6422=SURFACE_SIDE_STYLE('',(#6696)); -#6423=SURFACE_SIDE_STYLE('',(#6697)); -#6424=SURFACE_SIDE_STYLE('',(#6698)); -#6425=SURFACE_SIDE_STYLE('',(#6699)); -#6426=SURFACE_SIDE_STYLE('',(#6700)); -#6427=SURFACE_SIDE_STYLE('',(#6701)); -#6428=SURFACE_SIDE_STYLE('',(#6702)); -#6429=SURFACE_SIDE_STYLE('',(#6703)); -#6430=SURFACE_SIDE_STYLE('',(#6704)); -#6431=SURFACE_SIDE_STYLE('',(#6705)); -#6432=SURFACE_SIDE_STYLE('',(#6706)); -#6433=SURFACE_SIDE_STYLE('',(#6707)); -#6434=SURFACE_SIDE_STYLE('',(#6708)); -#6435=SURFACE_SIDE_STYLE('',(#6709)); -#6436=SURFACE_SIDE_STYLE('',(#6710)); -#6437=SURFACE_SIDE_STYLE('',(#6711)); -#6438=SURFACE_SIDE_STYLE('',(#6712)); -#6439=SURFACE_SIDE_STYLE('',(#6713)); -#6440=SURFACE_SIDE_STYLE('',(#6714)); -#6441=SURFACE_SIDE_STYLE('',(#6715)); -#6442=SURFACE_SIDE_STYLE('',(#6716)); -#6443=SURFACE_SIDE_STYLE('',(#6717)); -#6444=SURFACE_SIDE_STYLE('',(#6718)); -#6445=SURFACE_SIDE_STYLE('',(#6719)); -#6446=SURFACE_SIDE_STYLE('',(#6720)); -#6447=SURFACE_SIDE_STYLE('',(#6721)); -#6448=SURFACE_SIDE_STYLE('',(#6722)); -#6449=SURFACE_SIDE_STYLE('',(#6723)); -#6450=SURFACE_SIDE_STYLE('',(#6724)); -#6451=SURFACE_SIDE_STYLE('',(#6725)); -#6452=SURFACE_SIDE_STYLE('',(#6726)); -#6453=SURFACE_SIDE_STYLE('',(#6727)); -#6454=SURFACE_SIDE_STYLE('',(#6728)); -#6455=SURFACE_SIDE_STYLE('',(#6729)); -#6456=SURFACE_SIDE_STYLE('',(#6730)); -#6457=SURFACE_SIDE_STYLE('',(#6731)); -#6458=SURFACE_SIDE_STYLE('',(#6732)); -#6459=SURFACE_SIDE_STYLE('',(#6733)); -#6460=SURFACE_SIDE_STYLE('',(#6734)); -#6461=SURFACE_SIDE_STYLE('',(#6735)); -#6462=SURFACE_SIDE_STYLE('',(#6736)); -#6463=SURFACE_SIDE_STYLE('',(#6737)); -#6464=SURFACE_SIDE_STYLE('',(#6738)); -#6465=SURFACE_SIDE_STYLE('',(#6739)); -#6466=SURFACE_SIDE_STYLE('',(#6740)); -#6467=SURFACE_SIDE_STYLE('',(#6741)); -#6468=SURFACE_SIDE_STYLE('',(#6742)); -#6469=SURFACE_SIDE_STYLE('',(#6743)); -#6470=SURFACE_SIDE_STYLE('',(#6744)); -#6471=SURFACE_SIDE_STYLE('',(#6745)); -#6472=SURFACE_SIDE_STYLE('',(#6746)); -#6473=SURFACE_SIDE_STYLE('',(#6747)); -#6474=SURFACE_SIDE_STYLE('',(#6748)); -#6475=SURFACE_SIDE_STYLE('',(#6749)); -#6476=SURFACE_SIDE_STYLE('',(#6750)); -#6477=SURFACE_SIDE_STYLE('',(#6751)); -#6478=SURFACE_SIDE_STYLE('',(#6752)); -#6479=SURFACE_SIDE_STYLE('',(#6753)); -#6480=SURFACE_SIDE_STYLE('',(#6754)); -#6481=SURFACE_SIDE_STYLE('',(#6755)); -#6482=SURFACE_SIDE_STYLE('',(#6756)); -#6483=SURFACE_SIDE_STYLE('',(#6757)); -#6484=SURFACE_SIDE_STYLE('',(#6758)); -#6485=SURFACE_SIDE_STYLE('',(#6759)); -#6486=SURFACE_SIDE_STYLE('',(#6760)); -#6487=SURFACE_SIDE_STYLE('',(#6761)); -#6488=SURFACE_SIDE_STYLE('',(#6762)); -#6489=SURFACE_SIDE_STYLE('',(#6763)); -#6490=SURFACE_SIDE_STYLE('',(#6764)); -#6491=SURFACE_SIDE_STYLE('',(#6765)); -#6492=SURFACE_SIDE_STYLE('',(#6766)); -#6493=SURFACE_SIDE_STYLE('',(#6767)); -#6494=SURFACE_SIDE_STYLE('',(#6768)); -#6495=SURFACE_SIDE_STYLE('',(#6769)); -#6496=SURFACE_SIDE_STYLE('',(#6770)); -#6497=SURFACE_SIDE_STYLE('',(#6771)); -#6498=SURFACE_SIDE_STYLE('',(#6772)); -#6499=SURFACE_SIDE_STYLE('',(#6773)); -#6500=SURFACE_SIDE_STYLE('',(#6774)); -#6501=SURFACE_SIDE_STYLE('',(#6775)); -#6502=SURFACE_SIDE_STYLE('',(#6776)); -#6503=SURFACE_SIDE_STYLE('',(#6777)); -#6504=SURFACE_SIDE_STYLE('',(#6778)); -#6505=SURFACE_SIDE_STYLE('',(#6779)); -#6506=SURFACE_SIDE_STYLE('',(#6780)); -#6507=SURFACE_SIDE_STYLE('',(#6781)); -#6508=SURFACE_SIDE_STYLE('',(#6782)); -#6509=SURFACE_SIDE_STYLE('',(#6783)); -#6510=SURFACE_SIDE_STYLE('',(#6784)); -#6511=SURFACE_SIDE_STYLE('',(#6785)); -#6512=SURFACE_SIDE_STYLE('',(#6786)); -#6513=SURFACE_SIDE_STYLE('',(#6787)); -#6514=SURFACE_SIDE_STYLE('',(#6788)); -#6515=SURFACE_SIDE_STYLE('',(#6789)); -#6516=SURFACE_SIDE_STYLE('',(#6790)); -#6517=SURFACE_SIDE_STYLE('',(#6791)); -#6518=SURFACE_SIDE_STYLE('',(#6792)); -#6519=SURFACE_SIDE_STYLE('',(#6793)); -#6520=SURFACE_SIDE_STYLE('',(#6794)); -#6521=SURFACE_SIDE_STYLE('',(#6795)); -#6522=SURFACE_SIDE_STYLE('',(#6796)); -#6523=SURFACE_SIDE_STYLE('',(#6797)); -#6524=SURFACE_SIDE_STYLE('',(#6798)); -#6525=SURFACE_SIDE_STYLE('',(#6799)); -#6526=SURFACE_SIDE_STYLE('',(#6800)); -#6527=SURFACE_SIDE_STYLE('',(#6801)); -#6528=SURFACE_SIDE_STYLE('',(#6802)); -#6529=SURFACE_SIDE_STYLE('',(#6803)); -#6530=SURFACE_SIDE_STYLE('',(#6804)); -#6531=SURFACE_SIDE_STYLE('',(#6805)); -#6532=SURFACE_SIDE_STYLE('',(#6806)); -#6533=SURFACE_SIDE_STYLE('',(#6807)); -#6534=SURFACE_SIDE_STYLE('',(#6808)); -#6535=SURFACE_SIDE_STYLE('',(#6809)); -#6536=SURFACE_SIDE_STYLE('',(#6810)); -#6537=SURFACE_SIDE_STYLE('',(#6811)); -#6538=SURFACE_SIDE_STYLE('',(#6812)); -#6539=SURFACE_SIDE_STYLE('',(#6813)); -#6540=SURFACE_SIDE_STYLE('',(#6814)); -#6541=SURFACE_SIDE_STYLE('',(#6815)); -#6542=SURFACE_SIDE_STYLE('',(#6816)); -#6543=SURFACE_SIDE_STYLE('',(#6817)); -#6544=SURFACE_SIDE_STYLE('',(#6818)); -#6545=SURFACE_SIDE_STYLE('',(#6819)); -#6546=SURFACE_SIDE_STYLE('',(#6820)); -#6547=SURFACE_SIDE_STYLE('',(#6821)); -#6548=SURFACE_SIDE_STYLE('',(#6822)); -#6549=SURFACE_SIDE_STYLE('',(#6823)); -#6550=SURFACE_SIDE_STYLE('',(#6824)); -#6551=SURFACE_SIDE_STYLE('',(#6825)); -#6552=SURFACE_STYLE_FILL_AREA(#6826); -#6553=SURFACE_STYLE_FILL_AREA(#6827); -#6554=SURFACE_STYLE_FILL_AREA(#6828); -#6555=SURFACE_STYLE_FILL_AREA(#6829); -#6556=SURFACE_STYLE_FILL_AREA(#6830); -#6557=SURFACE_STYLE_FILL_AREA(#6831); -#6558=SURFACE_STYLE_FILL_AREA(#6832); -#6559=SURFACE_STYLE_FILL_AREA(#6833); -#6560=SURFACE_STYLE_FILL_AREA(#6834); -#6561=SURFACE_STYLE_FILL_AREA(#6835); -#6562=SURFACE_STYLE_FILL_AREA(#6836); -#6563=SURFACE_STYLE_FILL_AREA(#6837); -#6564=SURFACE_STYLE_FILL_AREA(#6838); -#6565=SURFACE_STYLE_FILL_AREA(#6839); -#6566=SURFACE_STYLE_FILL_AREA(#6840); -#6567=SURFACE_STYLE_FILL_AREA(#6841); -#6568=SURFACE_STYLE_FILL_AREA(#6842); -#6569=SURFACE_STYLE_FILL_AREA(#6843); -#6570=SURFACE_STYLE_FILL_AREA(#6844); -#6571=SURFACE_STYLE_FILL_AREA(#6845); -#6572=SURFACE_STYLE_FILL_AREA(#6846); -#6573=SURFACE_STYLE_FILL_AREA(#6847); -#6574=SURFACE_STYLE_FILL_AREA(#6848); -#6575=SURFACE_STYLE_FILL_AREA(#6849); -#6576=SURFACE_STYLE_FILL_AREA(#6850); -#6577=SURFACE_STYLE_FILL_AREA(#6851); -#6578=SURFACE_STYLE_FILL_AREA(#6852); -#6579=SURFACE_STYLE_FILL_AREA(#6853); -#6580=SURFACE_STYLE_FILL_AREA(#6854); -#6581=SURFACE_STYLE_FILL_AREA(#6855); -#6582=SURFACE_STYLE_FILL_AREA(#6856); -#6583=SURFACE_STYLE_FILL_AREA(#6857); -#6584=SURFACE_STYLE_FILL_AREA(#6858); -#6585=SURFACE_STYLE_FILL_AREA(#6859); -#6586=SURFACE_STYLE_FILL_AREA(#6860); -#6587=SURFACE_STYLE_FILL_AREA(#6861); -#6588=SURFACE_STYLE_FILL_AREA(#6862); -#6589=SURFACE_STYLE_FILL_AREA(#6863); -#6590=SURFACE_STYLE_FILL_AREA(#6864); -#6591=SURFACE_STYLE_FILL_AREA(#6865); -#6592=SURFACE_STYLE_FILL_AREA(#6866); -#6593=SURFACE_STYLE_FILL_AREA(#6867); -#6594=SURFACE_STYLE_FILL_AREA(#6868); -#6595=SURFACE_STYLE_FILL_AREA(#6869); -#6596=SURFACE_STYLE_FILL_AREA(#6870); -#6597=SURFACE_STYLE_FILL_AREA(#6871); -#6598=SURFACE_STYLE_FILL_AREA(#6872); -#6599=SURFACE_STYLE_FILL_AREA(#6873); -#6600=SURFACE_STYLE_FILL_AREA(#6874); -#6601=SURFACE_STYLE_FILL_AREA(#6875); -#6602=SURFACE_STYLE_FILL_AREA(#6876); -#6603=SURFACE_STYLE_FILL_AREA(#6877); -#6604=SURFACE_STYLE_FILL_AREA(#6878); -#6605=SURFACE_STYLE_FILL_AREA(#6879); -#6606=SURFACE_STYLE_FILL_AREA(#6880); -#6607=SURFACE_STYLE_FILL_AREA(#6881); -#6608=SURFACE_STYLE_FILL_AREA(#6882); -#6609=SURFACE_STYLE_FILL_AREA(#6883); -#6610=SURFACE_STYLE_FILL_AREA(#6884); -#6611=SURFACE_STYLE_FILL_AREA(#6885); -#6612=SURFACE_STYLE_FILL_AREA(#6886); -#6613=SURFACE_STYLE_FILL_AREA(#6887); -#6614=SURFACE_STYLE_FILL_AREA(#6888); -#6615=SURFACE_STYLE_FILL_AREA(#6889); -#6616=SURFACE_STYLE_FILL_AREA(#6890); -#6617=SURFACE_STYLE_FILL_AREA(#6891); -#6618=SURFACE_STYLE_FILL_AREA(#6892); -#6619=SURFACE_STYLE_FILL_AREA(#6893); -#6620=SURFACE_STYLE_FILL_AREA(#6894); -#6621=SURFACE_STYLE_FILL_AREA(#6895); -#6622=SURFACE_STYLE_FILL_AREA(#6896); -#6623=SURFACE_STYLE_FILL_AREA(#6897); -#6624=SURFACE_STYLE_FILL_AREA(#6898); -#6625=SURFACE_STYLE_FILL_AREA(#6899); -#6626=SURFACE_STYLE_FILL_AREA(#6900); -#6627=SURFACE_STYLE_FILL_AREA(#6901); -#6628=SURFACE_STYLE_FILL_AREA(#6902); -#6629=SURFACE_STYLE_FILL_AREA(#6903); -#6630=SURFACE_STYLE_FILL_AREA(#6904); -#6631=SURFACE_STYLE_FILL_AREA(#6905); -#6632=SURFACE_STYLE_FILL_AREA(#6906); -#6633=SURFACE_STYLE_FILL_AREA(#6907); -#6634=SURFACE_STYLE_FILL_AREA(#6908); -#6635=SURFACE_STYLE_FILL_AREA(#6909); -#6636=SURFACE_STYLE_FILL_AREA(#6910); -#6637=SURFACE_STYLE_FILL_AREA(#6911); -#6638=SURFACE_STYLE_FILL_AREA(#6912); -#6639=SURFACE_STYLE_FILL_AREA(#6913); -#6640=SURFACE_STYLE_FILL_AREA(#6914); -#6641=SURFACE_STYLE_FILL_AREA(#6915); -#6642=SURFACE_STYLE_FILL_AREA(#6916); -#6643=SURFACE_STYLE_FILL_AREA(#6917); -#6644=SURFACE_STYLE_FILL_AREA(#6918); -#6645=SURFACE_STYLE_FILL_AREA(#6919); -#6646=SURFACE_STYLE_FILL_AREA(#6920); -#6647=SURFACE_STYLE_FILL_AREA(#6921); -#6648=SURFACE_STYLE_FILL_AREA(#6922); -#6649=SURFACE_STYLE_FILL_AREA(#6923); -#6650=SURFACE_STYLE_FILL_AREA(#6924); -#6651=SURFACE_STYLE_FILL_AREA(#6925); -#6652=SURFACE_STYLE_FILL_AREA(#6926); -#6653=SURFACE_STYLE_FILL_AREA(#6927); -#6654=SURFACE_STYLE_FILL_AREA(#6928); -#6655=SURFACE_STYLE_FILL_AREA(#6929); -#6656=SURFACE_STYLE_FILL_AREA(#6930); -#6657=SURFACE_STYLE_FILL_AREA(#6931); -#6658=SURFACE_STYLE_FILL_AREA(#6932); -#6659=SURFACE_STYLE_FILL_AREA(#6933); -#6660=SURFACE_STYLE_FILL_AREA(#6934); -#6661=SURFACE_STYLE_FILL_AREA(#6935); -#6662=SURFACE_STYLE_FILL_AREA(#6936); -#6663=SURFACE_STYLE_FILL_AREA(#6937); -#6664=SURFACE_STYLE_FILL_AREA(#6938); -#6665=SURFACE_STYLE_FILL_AREA(#6939); -#6666=SURFACE_STYLE_FILL_AREA(#6940); -#6667=SURFACE_STYLE_FILL_AREA(#6941); -#6668=SURFACE_STYLE_FILL_AREA(#6942); -#6669=SURFACE_STYLE_FILL_AREA(#6943); -#6670=SURFACE_STYLE_FILL_AREA(#6944); -#6671=SURFACE_STYLE_FILL_AREA(#6945); -#6672=SURFACE_STYLE_FILL_AREA(#6946); -#6673=SURFACE_STYLE_FILL_AREA(#6947); -#6674=SURFACE_STYLE_FILL_AREA(#6948); -#6675=SURFACE_STYLE_FILL_AREA(#6949); -#6676=SURFACE_STYLE_FILL_AREA(#6950); -#6677=SURFACE_STYLE_FILL_AREA(#6951); -#6678=SURFACE_STYLE_FILL_AREA(#6952); -#6679=SURFACE_STYLE_FILL_AREA(#6953); -#6680=SURFACE_STYLE_FILL_AREA(#6954); -#6681=SURFACE_STYLE_FILL_AREA(#6955); -#6682=SURFACE_STYLE_FILL_AREA(#6956); -#6683=SURFACE_STYLE_FILL_AREA(#6957); -#6684=SURFACE_STYLE_FILL_AREA(#6958); -#6685=SURFACE_STYLE_FILL_AREA(#6959); -#6686=SURFACE_STYLE_FILL_AREA(#6960); -#6687=SURFACE_STYLE_FILL_AREA(#6961); -#6688=SURFACE_STYLE_FILL_AREA(#6962); -#6689=SURFACE_STYLE_FILL_AREA(#6963); -#6690=SURFACE_STYLE_FILL_AREA(#6964); -#6691=SURFACE_STYLE_FILL_AREA(#6965); -#6692=SURFACE_STYLE_FILL_AREA(#6966); -#6693=SURFACE_STYLE_FILL_AREA(#6967); -#6694=SURFACE_STYLE_FILL_AREA(#6968); -#6695=SURFACE_STYLE_FILL_AREA(#6969); -#6696=SURFACE_STYLE_FILL_AREA(#6970); -#6697=SURFACE_STYLE_FILL_AREA(#6971); -#6698=SURFACE_STYLE_FILL_AREA(#6972); -#6699=SURFACE_STYLE_FILL_AREA(#6973); -#6700=SURFACE_STYLE_FILL_AREA(#6974); -#6701=SURFACE_STYLE_FILL_AREA(#6975); -#6702=SURFACE_STYLE_FILL_AREA(#6976); -#6703=SURFACE_STYLE_FILL_AREA(#6977); -#6704=SURFACE_STYLE_FILL_AREA(#6978); -#6705=SURFACE_STYLE_FILL_AREA(#6979); -#6706=SURFACE_STYLE_FILL_AREA(#6980); -#6707=SURFACE_STYLE_FILL_AREA(#6981); -#6708=SURFACE_STYLE_FILL_AREA(#6982); -#6709=SURFACE_STYLE_FILL_AREA(#6983); -#6710=SURFACE_STYLE_FILL_AREA(#6984); -#6711=SURFACE_STYLE_FILL_AREA(#6985); -#6712=SURFACE_STYLE_FILL_AREA(#6986); -#6713=SURFACE_STYLE_FILL_AREA(#6987); -#6714=SURFACE_STYLE_FILL_AREA(#6988); -#6715=SURFACE_STYLE_FILL_AREA(#6989); -#6716=SURFACE_STYLE_FILL_AREA(#6990); -#6717=SURFACE_STYLE_FILL_AREA(#6991); -#6718=SURFACE_STYLE_FILL_AREA(#6992); -#6719=SURFACE_STYLE_FILL_AREA(#6993); -#6720=SURFACE_STYLE_FILL_AREA(#6994); -#6721=SURFACE_STYLE_FILL_AREA(#6995); -#6722=SURFACE_STYLE_FILL_AREA(#6996); -#6723=SURFACE_STYLE_FILL_AREA(#6997); -#6724=SURFACE_STYLE_FILL_AREA(#6998); -#6725=SURFACE_STYLE_FILL_AREA(#6999); -#6726=SURFACE_STYLE_FILL_AREA(#7000); -#6727=SURFACE_STYLE_FILL_AREA(#7001); -#6728=SURFACE_STYLE_FILL_AREA(#7002); -#6729=SURFACE_STYLE_FILL_AREA(#7003); -#6730=SURFACE_STYLE_FILL_AREA(#7004); -#6731=SURFACE_STYLE_FILL_AREA(#7005); -#6732=SURFACE_STYLE_FILL_AREA(#7006); -#6733=SURFACE_STYLE_FILL_AREA(#7007); -#6734=SURFACE_STYLE_FILL_AREA(#7008); -#6735=SURFACE_STYLE_FILL_AREA(#7009); -#6736=SURFACE_STYLE_FILL_AREA(#7010); -#6737=SURFACE_STYLE_FILL_AREA(#7011); -#6738=SURFACE_STYLE_FILL_AREA(#7012); -#6739=SURFACE_STYLE_FILL_AREA(#7013); -#6740=SURFACE_STYLE_FILL_AREA(#7014); -#6741=SURFACE_STYLE_FILL_AREA(#7015); -#6742=SURFACE_STYLE_FILL_AREA(#7016); -#6743=SURFACE_STYLE_FILL_AREA(#7017); -#6744=SURFACE_STYLE_FILL_AREA(#7018); -#6745=SURFACE_STYLE_FILL_AREA(#7019); -#6746=SURFACE_STYLE_FILL_AREA(#7020); -#6747=SURFACE_STYLE_FILL_AREA(#7021); -#6748=SURFACE_STYLE_FILL_AREA(#7022); -#6749=SURFACE_STYLE_FILL_AREA(#7023); -#6750=SURFACE_STYLE_FILL_AREA(#7024); -#6751=SURFACE_STYLE_FILL_AREA(#7025); -#6752=SURFACE_STYLE_FILL_AREA(#7026); -#6753=SURFACE_STYLE_FILL_AREA(#7027); -#6754=SURFACE_STYLE_FILL_AREA(#7028); -#6755=SURFACE_STYLE_FILL_AREA(#7029); -#6756=SURFACE_STYLE_FILL_AREA(#7030); -#6757=SURFACE_STYLE_FILL_AREA(#7031); -#6758=SURFACE_STYLE_FILL_AREA(#7032); -#6759=SURFACE_STYLE_FILL_AREA(#7033); -#6760=SURFACE_STYLE_FILL_AREA(#7034); -#6761=SURFACE_STYLE_FILL_AREA(#7035); -#6762=SURFACE_STYLE_FILL_AREA(#7036); -#6763=SURFACE_STYLE_FILL_AREA(#7037); -#6764=SURFACE_STYLE_FILL_AREA(#7038); -#6765=SURFACE_STYLE_FILL_AREA(#7039); -#6766=SURFACE_STYLE_FILL_AREA(#7040); -#6767=SURFACE_STYLE_FILL_AREA(#7041); -#6768=SURFACE_STYLE_FILL_AREA(#7042); -#6769=SURFACE_STYLE_FILL_AREA(#7043); -#6770=SURFACE_STYLE_FILL_AREA(#7044); -#6771=SURFACE_STYLE_FILL_AREA(#7045); -#6772=SURFACE_STYLE_FILL_AREA(#7046); -#6773=SURFACE_STYLE_FILL_AREA(#7047); -#6774=SURFACE_STYLE_FILL_AREA(#7048); -#6775=SURFACE_STYLE_FILL_AREA(#7049); -#6776=SURFACE_STYLE_FILL_AREA(#7050); -#6777=SURFACE_STYLE_FILL_AREA(#7051); -#6778=SURFACE_STYLE_FILL_AREA(#7052); -#6779=SURFACE_STYLE_FILL_AREA(#7053); -#6780=SURFACE_STYLE_FILL_AREA(#7054); -#6781=SURFACE_STYLE_FILL_AREA(#7055); -#6782=SURFACE_STYLE_FILL_AREA(#7056); -#6783=SURFACE_STYLE_FILL_AREA(#7057); -#6784=SURFACE_STYLE_FILL_AREA(#7058); -#6785=SURFACE_STYLE_FILL_AREA(#7059); -#6786=SURFACE_STYLE_FILL_AREA(#7060); -#6787=SURFACE_STYLE_FILL_AREA(#7061); -#6788=SURFACE_STYLE_FILL_AREA(#7062); -#6789=SURFACE_STYLE_FILL_AREA(#7063); -#6790=SURFACE_STYLE_FILL_AREA(#7064); -#6791=SURFACE_STYLE_FILL_AREA(#7065); -#6792=SURFACE_STYLE_FILL_AREA(#7066); -#6793=SURFACE_STYLE_FILL_AREA(#7067); -#6794=SURFACE_STYLE_FILL_AREA(#7068); -#6795=SURFACE_STYLE_FILL_AREA(#7069); -#6796=SURFACE_STYLE_FILL_AREA(#7070); -#6797=SURFACE_STYLE_FILL_AREA(#7071); -#6798=SURFACE_STYLE_FILL_AREA(#7072); -#6799=SURFACE_STYLE_FILL_AREA(#7073); -#6800=SURFACE_STYLE_FILL_AREA(#7074); -#6801=SURFACE_STYLE_FILL_AREA(#7075); -#6802=SURFACE_STYLE_FILL_AREA(#7076); -#6803=SURFACE_STYLE_FILL_AREA(#7077); -#6804=SURFACE_STYLE_FILL_AREA(#7078); -#6805=SURFACE_STYLE_FILL_AREA(#7079); -#6806=SURFACE_STYLE_FILL_AREA(#7080); -#6807=SURFACE_STYLE_FILL_AREA(#7081); -#6808=SURFACE_STYLE_FILL_AREA(#7082); -#6809=SURFACE_STYLE_FILL_AREA(#7083); -#6810=SURFACE_STYLE_FILL_AREA(#7084); -#6811=SURFACE_STYLE_FILL_AREA(#7085); -#6812=SURFACE_STYLE_FILL_AREA(#7086); -#6813=SURFACE_STYLE_FILL_AREA(#7087); -#6814=SURFACE_STYLE_FILL_AREA(#7088); -#6815=SURFACE_STYLE_FILL_AREA(#7089); -#6816=SURFACE_STYLE_FILL_AREA(#7090); -#6817=SURFACE_STYLE_FILL_AREA(#7091); -#6818=SURFACE_STYLE_FILL_AREA(#7092); -#6819=SURFACE_STYLE_FILL_AREA(#7093); -#6820=SURFACE_STYLE_FILL_AREA(#7094); -#6821=SURFACE_STYLE_FILL_AREA(#7095); -#6822=SURFACE_STYLE_FILL_AREA(#7096); -#6823=SURFACE_STYLE_FILL_AREA(#7097); -#6824=SURFACE_STYLE_FILL_AREA(#7098); -#6825=SURFACE_STYLE_FILL_AREA(#7099); -#6826=FILL_AREA_STYLE('',(#7100)); -#6827=FILL_AREA_STYLE('',(#7101)); -#6828=FILL_AREA_STYLE('',(#7102)); -#6829=FILL_AREA_STYLE('',(#7103)); -#6830=FILL_AREA_STYLE('',(#7104)); -#6831=FILL_AREA_STYLE('',(#7105)); -#6832=FILL_AREA_STYLE('',(#7106)); -#6833=FILL_AREA_STYLE('',(#7107)); -#6834=FILL_AREA_STYLE('',(#7108)); -#6835=FILL_AREA_STYLE('',(#7109)); -#6836=FILL_AREA_STYLE('',(#7110)); -#6837=FILL_AREA_STYLE('',(#7111)); -#6838=FILL_AREA_STYLE('',(#7112)); -#6839=FILL_AREA_STYLE('',(#7113)); -#6840=FILL_AREA_STYLE('',(#7114)); -#6841=FILL_AREA_STYLE('',(#7115)); -#6842=FILL_AREA_STYLE('',(#7116)); -#6843=FILL_AREA_STYLE('',(#7117)); -#6844=FILL_AREA_STYLE('',(#7118)); -#6845=FILL_AREA_STYLE('',(#7119)); -#6846=FILL_AREA_STYLE('',(#7120)); -#6847=FILL_AREA_STYLE('',(#7121)); -#6848=FILL_AREA_STYLE('',(#7122)); -#6849=FILL_AREA_STYLE('',(#7123)); -#6850=FILL_AREA_STYLE('',(#7124)); -#6851=FILL_AREA_STYLE('',(#7125)); -#6852=FILL_AREA_STYLE('',(#7126)); -#6853=FILL_AREA_STYLE('',(#7127)); -#6854=FILL_AREA_STYLE('',(#7128)); -#6855=FILL_AREA_STYLE('',(#7129)); -#6856=FILL_AREA_STYLE('',(#7130)); -#6857=FILL_AREA_STYLE('',(#7131)); -#6858=FILL_AREA_STYLE('',(#7132)); -#6859=FILL_AREA_STYLE('',(#7133)); -#6860=FILL_AREA_STYLE('',(#7134)); -#6861=FILL_AREA_STYLE('',(#7135)); -#6862=FILL_AREA_STYLE('',(#7136)); -#6863=FILL_AREA_STYLE('',(#7137)); -#6864=FILL_AREA_STYLE('',(#7138)); -#6865=FILL_AREA_STYLE('',(#7139)); -#6866=FILL_AREA_STYLE('',(#7140)); -#6867=FILL_AREA_STYLE('',(#7141)); -#6868=FILL_AREA_STYLE('',(#7142)); -#6869=FILL_AREA_STYLE('',(#7143)); -#6870=FILL_AREA_STYLE('',(#7144)); -#6871=FILL_AREA_STYLE('',(#7145)); -#6872=FILL_AREA_STYLE('',(#7146)); -#6873=FILL_AREA_STYLE('',(#7147)); -#6874=FILL_AREA_STYLE('',(#7148)); -#6875=FILL_AREA_STYLE('',(#7149)); -#6876=FILL_AREA_STYLE('',(#7150)); -#6877=FILL_AREA_STYLE('',(#7151)); -#6878=FILL_AREA_STYLE('',(#7152)); -#6879=FILL_AREA_STYLE('',(#7153)); -#6880=FILL_AREA_STYLE('',(#7154)); -#6881=FILL_AREA_STYLE('',(#7155)); -#6882=FILL_AREA_STYLE('',(#7156)); -#6883=FILL_AREA_STYLE('',(#7157)); -#6884=FILL_AREA_STYLE('',(#7158)); -#6885=FILL_AREA_STYLE('',(#7159)); -#6886=FILL_AREA_STYLE('',(#7160)); -#6887=FILL_AREA_STYLE('',(#7161)); -#6888=FILL_AREA_STYLE('',(#7162)); -#6889=FILL_AREA_STYLE('',(#7163)); -#6890=FILL_AREA_STYLE('',(#7164)); -#6891=FILL_AREA_STYLE('',(#7165)); -#6892=FILL_AREA_STYLE('',(#7166)); -#6893=FILL_AREA_STYLE('',(#7167)); -#6894=FILL_AREA_STYLE('',(#7168)); -#6895=FILL_AREA_STYLE('',(#7169)); -#6896=FILL_AREA_STYLE('',(#7170)); -#6897=FILL_AREA_STYLE('',(#7171)); -#6898=FILL_AREA_STYLE('',(#7172)); -#6899=FILL_AREA_STYLE('',(#7173)); -#6900=FILL_AREA_STYLE('',(#7174)); -#6901=FILL_AREA_STYLE('',(#7175)); -#6902=FILL_AREA_STYLE('',(#7176)); -#6903=FILL_AREA_STYLE('',(#7177)); -#6904=FILL_AREA_STYLE('',(#7178)); -#6905=FILL_AREA_STYLE('',(#7179)); -#6906=FILL_AREA_STYLE('',(#7180)); -#6907=FILL_AREA_STYLE('',(#7181)); -#6908=FILL_AREA_STYLE('',(#7182)); -#6909=FILL_AREA_STYLE('',(#7183)); -#6910=FILL_AREA_STYLE('',(#7184)); -#6911=FILL_AREA_STYLE('',(#7185)); -#6912=FILL_AREA_STYLE('',(#7186)); -#6913=FILL_AREA_STYLE('',(#7187)); -#6914=FILL_AREA_STYLE('',(#7188)); -#6915=FILL_AREA_STYLE('',(#7189)); -#6916=FILL_AREA_STYLE('',(#7190)); -#6917=FILL_AREA_STYLE('',(#7191)); -#6918=FILL_AREA_STYLE('',(#7192)); -#6919=FILL_AREA_STYLE('',(#7193)); -#6920=FILL_AREA_STYLE('',(#7194)); -#6921=FILL_AREA_STYLE('',(#7195)); -#6922=FILL_AREA_STYLE('',(#7196)); -#6923=FILL_AREA_STYLE('',(#7197)); -#6924=FILL_AREA_STYLE('',(#7198)); -#6925=FILL_AREA_STYLE('',(#7199)); -#6926=FILL_AREA_STYLE('',(#7200)); -#6927=FILL_AREA_STYLE('',(#7201)); -#6928=FILL_AREA_STYLE('',(#7202)); -#6929=FILL_AREA_STYLE('',(#7203)); -#6930=FILL_AREA_STYLE('',(#7204)); -#6931=FILL_AREA_STYLE('',(#7205)); -#6932=FILL_AREA_STYLE('',(#7206)); -#6933=FILL_AREA_STYLE('',(#7207)); -#6934=FILL_AREA_STYLE('',(#7208)); -#6935=FILL_AREA_STYLE('',(#7209)); -#6936=FILL_AREA_STYLE('',(#7210)); -#6937=FILL_AREA_STYLE('',(#7211)); -#6938=FILL_AREA_STYLE('',(#7212)); -#6939=FILL_AREA_STYLE('',(#7213)); -#6940=FILL_AREA_STYLE('',(#7214)); -#6941=FILL_AREA_STYLE('',(#7215)); -#6942=FILL_AREA_STYLE('',(#7216)); -#6943=FILL_AREA_STYLE('',(#7217)); -#6944=FILL_AREA_STYLE('',(#7218)); -#6945=FILL_AREA_STYLE('',(#7219)); -#6946=FILL_AREA_STYLE('',(#7220)); -#6947=FILL_AREA_STYLE('',(#7221)); -#6948=FILL_AREA_STYLE('',(#7222)); -#6949=FILL_AREA_STYLE('',(#7223)); -#6950=FILL_AREA_STYLE('',(#7224)); -#6951=FILL_AREA_STYLE('',(#7225)); -#6952=FILL_AREA_STYLE('',(#7226)); -#6953=FILL_AREA_STYLE('',(#7227)); -#6954=FILL_AREA_STYLE('',(#7228)); -#6955=FILL_AREA_STYLE('',(#7229)); -#6956=FILL_AREA_STYLE('',(#7230)); -#6957=FILL_AREA_STYLE('',(#7231)); -#6958=FILL_AREA_STYLE('',(#7232)); -#6959=FILL_AREA_STYLE('',(#7233)); -#6960=FILL_AREA_STYLE('',(#7234)); -#6961=FILL_AREA_STYLE('',(#7235)); -#6962=FILL_AREA_STYLE('',(#7236)); -#6963=FILL_AREA_STYLE('',(#7237)); -#6964=FILL_AREA_STYLE('',(#7238)); -#6965=FILL_AREA_STYLE('',(#7239)); -#6966=FILL_AREA_STYLE('',(#7240)); -#6967=FILL_AREA_STYLE('',(#7241)); -#6968=FILL_AREA_STYLE('',(#7242)); -#6969=FILL_AREA_STYLE('',(#7243)); -#6970=FILL_AREA_STYLE('',(#7244)); -#6971=FILL_AREA_STYLE('',(#7245)); -#6972=FILL_AREA_STYLE('',(#7246)); -#6973=FILL_AREA_STYLE('',(#7247)); -#6974=FILL_AREA_STYLE('',(#7248)); -#6975=FILL_AREA_STYLE('',(#7249)); -#6976=FILL_AREA_STYLE('',(#7250)); -#6977=FILL_AREA_STYLE('',(#7251)); -#6978=FILL_AREA_STYLE('',(#7252)); -#6979=FILL_AREA_STYLE('',(#7253)); -#6980=FILL_AREA_STYLE('',(#7254)); -#6981=FILL_AREA_STYLE('',(#7255)); -#6982=FILL_AREA_STYLE('',(#7256)); -#6983=FILL_AREA_STYLE('',(#7257)); -#6984=FILL_AREA_STYLE('',(#7258)); -#6985=FILL_AREA_STYLE('',(#7259)); -#6986=FILL_AREA_STYLE('',(#7260)); -#6987=FILL_AREA_STYLE('',(#7261)); -#6988=FILL_AREA_STYLE('',(#7262)); -#6989=FILL_AREA_STYLE('',(#7263)); -#6990=FILL_AREA_STYLE('',(#7264)); -#6991=FILL_AREA_STYLE('',(#7265)); -#6992=FILL_AREA_STYLE('',(#7266)); -#6993=FILL_AREA_STYLE('',(#7267)); -#6994=FILL_AREA_STYLE('',(#7268)); -#6995=FILL_AREA_STYLE('',(#7269)); -#6996=FILL_AREA_STYLE('',(#7270)); -#6997=FILL_AREA_STYLE('',(#7271)); -#6998=FILL_AREA_STYLE('',(#7272)); -#6999=FILL_AREA_STYLE('',(#7273)); -#7000=FILL_AREA_STYLE('',(#7274)); -#7001=FILL_AREA_STYLE('',(#7275)); -#7002=FILL_AREA_STYLE('',(#7276)); -#7003=FILL_AREA_STYLE('',(#7277)); -#7004=FILL_AREA_STYLE('',(#7278)); -#7005=FILL_AREA_STYLE('',(#7279)); -#7006=FILL_AREA_STYLE('',(#7280)); -#7007=FILL_AREA_STYLE('',(#7281)); -#7008=FILL_AREA_STYLE('',(#7282)); -#7009=FILL_AREA_STYLE('',(#7283)); -#7010=FILL_AREA_STYLE('',(#7284)); -#7011=FILL_AREA_STYLE('',(#7285)); -#7012=FILL_AREA_STYLE('',(#7286)); -#7013=FILL_AREA_STYLE('',(#7287)); -#7014=FILL_AREA_STYLE('',(#7288)); -#7015=FILL_AREA_STYLE('',(#7289)); -#7016=FILL_AREA_STYLE('',(#7290)); -#7017=FILL_AREA_STYLE('',(#7291)); -#7018=FILL_AREA_STYLE('',(#7292)); -#7019=FILL_AREA_STYLE('',(#7293)); -#7020=FILL_AREA_STYLE('',(#7294)); -#7021=FILL_AREA_STYLE('',(#7295)); -#7022=FILL_AREA_STYLE('',(#7296)); -#7023=FILL_AREA_STYLE('',(#7297)); -#7024=FILL_AREA_STYLE('',(#7298)); -#7025=FILL_AREA_STYLE('',(#7299)); -#7026=FILL_AREA_STYLE('',(#7300)); -#7027=FILL_AREA_STYLE('',(#7301)); -#7028=FILL_AREA_STYLE('',(#7302)); -#7029=FILL_AREA_STYLE('',(#7303)); -#7030=FILL_AREA_STYLE('',(#7304)); -#7031=FILL_AREA_STYLE('',(#7305)); -#7032=FILL_AREA_STYLE('',(#7306)); -#7033=FILL_AREA_STYLE('',(#7307)); -#7034=FILL_AREA_STYLE('',(#7308)); -#7035=FILL_AREA_STYLE('',(#7309)); -#7036=FILL_AREA_STYLE('',(#7310)); -#7037=FILL_AREA_STYLE('',(#7311)); -#7038=FILL_AREA_STYLE('',(#7312)); -#7039=FILL_AREA_STYLE('',(#7313)); -#7040=FILL_AREA_STYLE('',(#7314)); -#7041=FILL_AREA_STYLE('',(#7315)); -#7042=FILL_AREA_STYLE('',(#7316)); -#7043=FILL_AREA_STYLE('',(#7317)); -#7044=FILL_AREA_STYLE('',(#7318)); -#7045=FILL_AREA_STYLE('',(#7319)); -#7046=FILL_AREA_STYLE('',(#7320)); -#7047=FILL_AREA_STYLE('',(#7321)); -#7048=FILL_AREA_STYLE('',(#7322)); -#7049=FILL_AREA_STYLE('',(#7323)); -#7050=FILL_AREA_STYLE('',(#7324)); -#7051=FILL_AREA_STYLE('',(#7325)); -#7052=FILL_AREA_STYLE('',(#7326)); -#7053=FILL_AREA_STYLE('',(#7327)); -#7054=FILL_AREA_STYLE('',(#7328)); -#7055=FILL_AREA_STYLE('',(#7329)); -#7056=FILL_AREA_STYLE('',(#7330)); -#7057=FILL_AREA_STYLE('',(#7331)); -#7058=FILL_AREA_STYLE('',(#7332)); -#7059=FILL_AREA_STYLE('',(#7333)); -#7060=FILL_AREA_STYLE('',(#7334)); -#7061=FILL_AREA_STYLE('',(#7335)); -#7062=FILL_AREA_STYLE('',(#7336)); -#7063=FILL_AREA_STYLE('',(#7337)); -#7064=FILL_AREA_STYLE('',(#7338)); -#7065=FILL_AREA_STYLE('',(#7339)); -#7066=FILL_AREA_STYLE('',(#7340)); -#7067=FILL_AREA_STYLE('',(#7341)); -#7068=FILL_AREA_STYLE('',(#7342)); -#7069=FILL_AREA_STYLE('',(#7343)); -#7070=FILL_AREA_STYLE('',(#7344)); -#7071=FILL_AREA_STYLE('',(#7345)); -#7072=FILL_AREA_STYLE('',(#7346)); -#7073=FILL_AREA_STYLE('',(#7347)); -#7074=FILL_AREA_STYLE('',(#7348)); -#7075=FILL_AREA_STYLE('',(#7349)); -#7076=FILL_AREA_STYLE('',(#7350)); -#7077=FILL_AREA_STYLE('',(#7351)); -#7078=FILL_AREA_STYLE('',(#7352)); -#7079=FILL_AREA_STYLE('',(#7353)); -#7080=FILL_AREA_STYLE('',(#7354)); -#7081=FILL_AREA_STYLE('',(#7355)); -#7082=FILL_AREA_STYLE('',(#7356)); -#7083=FILL_AREA_STYLE('',(#7357)); -#7084=FILL_AREA_STYLE('',(#7358)); -#7085=FILL_AREA_STYLE('',(#7359)); -#7086=FILL_AREA_STYLE('',(#7360)); -#7087=FILL_AREA_STYLE('',(#7361)); -#7088=FILL_AREA_STYLE('',(#7362)); -#7089=FILL_AREA_STYLE('',(#7363)); -#7090=FILL_AREA_STYLE('',(#7364)); -#7091=FILL_AREA_STYLE('',(#7365)); -#7092=FILL_AREA_STYLE('',(#7366)); -#7093=FILL_AREA_STYLE('',(#7367)); -#7094=FILL_AREA_STYLE('',(#7368)); -#7095=FILL_AREA_STYLE('',(#7369)); -#7096=FILL_AREA_STYLE('',(#7370)); -#7097=FILL_AREA_STYLE('',(#7371)); -#7098=FILL_AREA_STYLE('',(#7372)); -#7099=FILL_AREA_STYLE('',(#7373)); -#7100=FILL_AREA_STYLE_COLOUR('',#7374); -#7101=FILL_AREA_STYLE_COLOUR('',#7374); -#7102=FILL_AREA_STYLE_COLOUR('',#7374); -#7103=FILL_AREA_STYLE_COLOUR('',#7374); -#7104=FILL_AREA_STYLE_COLOUR('',#7374); -#7105=FILL_AREA_STYLE_COLOUR('',#7374); -#7106=FILL_AREA_STYLE_COLOUR('',#7374); -#7107=FILL_AREA_STYLE_COLOUR('',#7374); -#7108=FILL_AREA_STYLE_COLOUR('',#7374); -#7109=FILL_AREA_STYLE_COLOUR('',#7374); -#7110=FILL_AREA_STYLE_COLOUR('',#7374); -#7111=FILL_AREA_STYLE_COLOUR('',#7374); -#7112=FILL_AREA_STYLE_COLOUR('',#7374); -#7113=FILL_AREA_STYLE_COLOUR('',#7375); -#7114=FILL_AREA_STYLE_COLOUR('',#7376); -#7115=FILL_AREA_STYLE_COLOUR('',#7376); -#7116=FILL_AREA_STYLE_COLOUR('',#7376); -#7117=FILL_AREA_STYLE_COLOUR('',#7376); -#7118=FILL_AREA_STYLE_COLOUR('',#7376); -#7119=FILL_AREA_STYLE_COLOUR('',#7375); -#7120=FILL_AREA_STYLE_COLOUR('',#7376); -#7121=FILL_AREA_STYLE_COLOUR('',#7376); -#7122=FILL_AREA_STYLE_COLOUR('',#7376); -#7123=FILL_AREA_STYLE_COLOUR('',#7376); -#7124=FILL_AREA_STYLE_COLOUR('',#7376); -#7125=FILL_AREA_STYLE_COLOUR('',#7376); -#7126=FILL_AREA_STYLE_COLOUR('',#7374); -#7127=FILL_AREA_STYLE_COLOUR('',#7374); -#7128=FILL_AREA_STYLE_COLOUR('',#7374); -#7129=FILL_AREA_STYLE_COLOUR('',#7374); -#7130=FILL_AREA_STYLE_COLOUR('',#7374); -#7131=FILL_AREA_STYLE_COLOUR('',#7374); -#7132=FILL_AREA_STYLE_COLOUR('',#7374); -#7133=FILL_AREA_STYLE_COLOUR('',#7376); -#7134=FILL_AREA_STYLE_COLOUR('',#7374); -#7135=FILL_AREA_STYLE_COLOUR('',#7374); -#7136=FILL_AREA_STYLE_COLOUR('',#7374); -#7137=FILL_AREA_STYLE_COLOUR('',#7374); -#7138=FILL_AREA_STYLE_COLOUR('',#7374); -#7139=FILL_AREA_STYLE_COLOUR('',#7374); -#7140=FILL_AREA_STYLE_COLOUR('',#7374); -#7141=FILL_AREA_STYLE_COLOUR('',#7375); -#7142=FILL_AREA_STYLE_COLOUR('',#7375); -#7143=FILL_AREA_STYLE_COLOUR('',#7375); -#7144=FILL_AREA_STYLE_COLOUR('',#7375); -#7145=FILL_AREA_STYLE_COLOUR('',#7375); -#7146=FILL_AREA_STYLE_COLOUR('',#7375); -#7147=FILL_AREA_STYLE_COLOUR('',#7375); -#7148=FILL_AREA_STYLE_COLOUR('',#7375); -#7149=FILL_AREA_STYLE_COLOUR('',#7375); -#7150=FILL_AREA_STYLE_COLOUR('',#7375); -#7151=FILL_AREA_STYLE_COLOUR('',#7375); -#7152=FILL_AREA_STYLE_COLOUR('',#7375); -#7153=FILL_AREA_STYLE_COLOUR('',#7375); -#7154=FILL_AREA_STYLE_COLOUR('',#7375); -#7155=FILL_AREA_STYLE_COLOUR('',#7375); -#7156=FILL_AREA_STYLE_COLOUR('',#7375); -#7157=FILL_AREA_STYLE_COLOUR('',#7375); -#7158=FILL_AREA_STYLE_COLOUR('',#7375); -#7159=FILL_AREA_STYLE_COLOUR('',#7375); -#7160=FILL_AREA_STYLE_COLOUR('',#7375); -#7161=FILL_AREA_STYLE_COLOUR('',#7375); -#7162=FILL_AREA_STYLE_COLOUR('',#7375); -#7163=FILL_AREA_STYLE_COLOUR('',#7375); -#7164=FILL_AREA_STYLE_COLOUR('',#7375); -#7165=FILL_AREA_STYLE_COLOUR('',#7375); -#7166=FILL_AREA_STYLE_COLOUR('',#7375); -#7167=FILL_AREA_STYLE_COLOUR('',#7375); -#7168=FILL_AREA_STYLE_COLOUR('',#7375); -#7169=FILL_AREA_STYLE_COLOUR('',#7375); -#7170=FILL_AREA_STYLE_COLOUR('',#7375); -#7171=FILL_AREA_STYLE_COLOUR('',#7375); -#7172=FILL_AREA_STYLE_COLOUR('',#7375); -#7173=FILL_AREA_STYLE_COLOUR('',#7375); -#7174=FILL_AREA_STYLE_COLOUR('',#7375); -#7175=FILL_AREA_STYLE_COLOUR('',#7375); -#7176=FILL_AREA_STYLE_COLOUR('',#7375); -#7177=FILL_AREA_STYLE_COLOUR('',#7375); -#7178=FILL_AREA_STYLE_COLOUR('',#7375); -#7179=FILL_AREA_STYLE_COLOUR('',#7375); -#7180=FILL_AREA_STYLE_COLOUR('',#7375); -#7181=FILL_AREA_STYLE_COLOUR('',#7375); -#7182=FILL_AREA_STYLE_COLOUR('',#7375); -#7183=FILL_AREA_STYLE_COLOUR('',#7375); -#7184=FILL_AREA_STYLE_COLOUR('',#7375); -#7185=FILL_AREA_STYLE_COLOUR('',#7375); -#7186=FILL_AREA_STYLE_COLOUR('',#7375); -#7187=FILL_AREA_STYLE_COLOUR('',#7374); -#7188=FILL_AREA_STYLE_COLOUR('',#7374); -#7189=FILL_AREA_STYLE_COLOUR('',#7374); -#7190=FILL_AREA_STYLE_COLOUR('',#7374); -#7191=FILL_AREA_STYLE_COLOUR('',#7374); -#7192=FILL_AREA_STYLE_COLOUR('',#7374); -#7193=FILL_AREA_STYLE_COLOUR('',#7374); -#7194=FILL_AREA_STYLE_COLOUR('',#7374); -#7195=FILL_AREA_STYLE_COLOUR('',#7374); -#7196=FILL_AREA_STYLE_COLOUR('',#7374); -#7197=FILL_AREA_STYLE_COLOUR('',#7374); -#7198=FILL_AREA_STYLE_COLOUR('',#7374); -#7199=FILL_AREA_STYLE_COLOUR('',#7374); -#7200=FILL_AREA_STYLE_COLOUR('',#7377); -#7201=FILL_AREA_STYLE_COLOUR('',#7377); -#7202=FILL_AREA_STYLE_COLOUR('',#7377); -#7203=FILL_AREA_STYLE_COLOUR('',#7377); -#7204=FILL_AREA_STYLE_COLOUR('',#7377); -#7205=FILL_AREA_STYLE_COLOUR('',#7377); -#7206=FILL_AREA_STYLE_COLOUR('',#7377); -#7207=FILL_AREA_STYLE_COLOUR('',#7377); -#7208=FILL_AREA_STYLE_COLOUR('',#7377); -#7209=FILL_AREA_STYLE_COLOUR('',#7377); -#7210=FILL_AREA_STYLE_COLOUR('',#7377); -#7211=FILL_AREA_STYLE_COLOUR('',#7377); -#7212=FILL_AREA_STYLE_COLOUR('',#7377); -#7213=FILL_AREA_STYLE_COLOUR('',#7377); -#7214=FILL_AREA_STYLE_COLOUR('',#7377); -#7215=FILL_AREA_STYLE_COLOUR('',#7377); -#7216=FILL_AREA_STYLE_COLOUR('',#7377); -#7217=FILL_AREA_STYLE_COLOUR('',#7377); -#7218=FILL_AREA_STYLE_COLOUR('',#7377); -#7219=FILL_AREA_STYLE_COLOUR('',#7377); -#7220=FILL_AREA_STYLE_COLOUR('',#7377); -#7221=FILL_AREA_STYLE_COLOUR('',#7377); -#7222=FILL_AREA_STYLE_COLOUR('',#7377); -#7223=FILL_AREA_STYLE_COLOUR('',#7377); -#7224=FILL_AREA_STYLE_COLOUR('',#7377); -#7225=FILL_AREA_STYLE_COLOUR('',#7377); -#7226=FILL_AREA_STYLE_COLOUR('',#7377); -#7227=FILL_AREA_STYLE_COLOUR('',#7377); -#7228=FILL_AREA_STYLE_COLOUR('',#7377); -#7229=FILL_AREA_STYLE_COLOUR('',#7377); -#7230=FILL_AREA_STYLE_COLOUR('',#7377); -#7231=FILL_AREA_STYLE_COLOUR('',#7377); -#7232=FILL_AREA_STYLE_COLOUR('',#7377); -#7233=FILL_AREA_STYLE_COLOUR('',#7377); -#7234=FILL_AREA_STYLE_COLOUR('',#7377); -#7235=FILL_AREA_STYLE_COLOUR('',#7377); -#7236=FILL_AREA_STYLE_COLOUR('',#7377); -#7237=FILL_AREA_STYLE_COLOUR('',#7377); -#7238=FILL_AREA_STYLE_COLOUR('',#7377); -#7239=FILL_AREA_STYLE_COLOUR('',#7377); -#7240=FILL_AREA_STYLE_COLOUR('',#7377); -#7241=FILL_AREA_STYLE_COLOUR('',#7377); -#7242=FILL_AREA_STYLE_COLOUR('',#7377); -#7243=FILL_AREA_STYLE_COLOUR('',#7377); -#7244=FILL_AREA_STYLE_COLOUR('',#7377); -#7245=FILL_AREA_STYLE_COLOUR('',#7377); -#7246=FILL_AREA_STYLE_COLOUR('',#7377); -#7247=FILL_AREA_STYLE_COLOUR('',#7377); -#7248=FILL_AREA_STYLE_COLOUR('',#7377); -#7249=FILL_AREA_STYLE_COLOUR('',#7377); -#7250=FILL_AREA_STYLE_COLOUR('',#7377); -#7251=FILL_AREA_STYLE_COLOUR('',#7377); -#7252=FILL_AREA_STYLE_COLOUR('',#7377); -#7253=FILL_AREA_STYLE_COLOUR('',#7377); -#7254=FILL_AREA_STYLE_COLOUR('',#7377); -#7255=FILL_AREA_STYLE_COLOUR('',#7377); -#7256=FILL_AREA_STYLE_COLOUR('',#7377); -#7257=FILL_AREA_STYLE_COLOUR('',#7377); -#7258=FILL_AREA_STYLE_COLOUR('',#7377); -#7259=FILL_AREA_STYLE_COLOUR('',#7377); -#7260=FILL_AREA_STYLE_COLOUR('',#7377); -#7261=FILL_AREA_STYLE_COLOUR('',#7377); -#7262=FILL_AREA_STYLE_COLOUR('',#7377); -#7263=FILL_AREA_STYLE_COLOUR('',#7377); -#7264=FILL_AREA_STYLE_COLOUR('',#7377); -#7265=FILL_AREA_STYLE_COLOUR('',#7377); -#7266=FILL_AREA_STYLE_COLOUR('',#7377); -#7267=FILL_AREA_STYLE_COLOUR('',#7377); -#7268=FILL_AREA_STYLE_COLOUR('',#7377); -#7269=FILL_AREA_STYLE_COLOUR('',#7377); -#7270=FILL_AREA_STYLE_COLOUR('',#7377); -#7271=FILL_AREA_STYLE_COLOUR('',#7377); -#7272=FILL_AREA_STYLE_COLOUR('',#7377); -#7273=FILL_AREA_STYLE_COLOUR('',#7377); -#7274=FILL_AREA_STYLE_COLOUR('',#7377); -#7275=FILL_AREA_STYLE_COLOUR('',#7377); -#7276=FILL_AREA_STYLE_COLOUR('',#7377); -#7277=FILL_AREA_STYLE_COLOUR('',#7377); -#7278=FILL_AREA_STYLE_COLOUR('',#7377); -#7279=FILL_AREA_STYLE_COLOUR('',#7377); -#7280=FILL_AREA_STYLE_COLOUR('',#7377); -#7281=FILL_AREA_STYLE_COLOUR('',#7377); -#7282=FILL_AREA_STYLE_COLOUR('',#7377); -#7283=FILL_AREA_STYLE_COLOUR('',#7377); -#7284=FILL_AREA_STYLE_COLOUR('',#7377); -#7285=FILL_AREA_STYLE_COLOUR('',#7377); -#7286=FILL_AREA_STYLE_COLOUR('',#7377); -#7287=FILL_AREA_STYLE_COLOUR('',#7377); -#7288=FILL_AREA_STYLE_COLOUR('',#7377); -#7289=FILL_AREA_STYLE_COLOUR('',#7377); -#7290=FILL_AREA_STYLE_COLOUR('',#7377); -#7291=FILL_AREA_STYLE_COLOUR('',#7377); -#7292=FILL_AREA_STYLE_COLOUR('',#7377); -#7293=FILL_AREA_STYLE_COLOUR('',#7377); -#7294=FILL_AREA_STYLE_COLOUR('',#7377); -#7295=FILL_AREA_STYLE_COLOUR('',#7377); -#7296=FILL_AREA_STYLE_COLOUR('',#7377); -#7297=FILL_AREA_STYLE_COLOUR('',#7377); -#7298=FILL_AREA_STYLE_COLOUR('',#7377); -#7299=FILL_AREA_STYLE_COLOUR('',#7377); -#7300=FILL_AREA_STYLE_COLOUR('',#7377); -#7301=FILL_AREA_STYLE_COLOUR('',#7377); -#7302=FILL_AREA_STYLE_COLOUR('',#7377); -#7303=FILL_AREA_STYLE_COLOUR('',#7377); -#7304=FILL_AREA_STYLE_COLOUR('',#7377); -#7305=FILL_AREA_STYLE_COLOUR('',#7377); -#7306=FILL_AREA_STYLE_COLOUR('',#7377); -#7307=FILL_AREA_STYLE_COLOUR('',#7377); -#7308=FILL_AREA_STYLE_COLOUR('',#7377); -#7309=FILL_AREA_STYLE_COLOUR('',#7377); -#7310=FILL_AREA_STYLE_COLOUR('',#7377); -#7311=FILL_AREA_STYLE_COLOUR('',#7377); -#7312=FILL_AREA_STYLE_COLOUR('',#7377); -#7313=FILL_AREA_STYLE_COLOUR('',#7377); -#7314=FILL_AREA_STYLE_COLOUR('',#7377); -#7315=FILL_AREA_STYLE_COLOUR('',#7377); -#7316=FILL_AREA_STYLE_COLOUR('',#7377); -#7317=FILL_AREA_STYLE_COLOUR('',#7377); -#7318=FILL_AREA_STYLE_COLOUR('',#7377); -#7319=FILL_AREA_STYLE_COLOUR('',#7377); -#7320=FILL_AREA_STYLE_COLOUR('',#7377); -#7321=FILL_AREA_STYLE_COLOUR('',#7377); -#7322=FILL_AREA_STYLE_COLOUR('',#7377); -#7323=FILL_AREA_STYLE_COLOUR('',#7377); -#7324=FILL_AREA_STYLE_COLOUR('',#7377); -#7325=FILL_AREA_STYLE_COLOUR('',#7377); -#7326=FILL_AREA_STYLE_COLOUR('',#7377); -#7327=FILL_AREA_STYLE_COLOUR('',#7377); -#7328=FILL_AREA_STYLE_COLOUR('',#7377); -#7329=FILL_AREA_STYLE_COLOUR('',#7377); -#7330=FILL_AREA_STYLE_COLOUR('',#7377); -#7331=FILL_AREA_STYLE_COLOUR('',#7377); -#7332=FILL_AREA_STYLE_COLOUR('',#7377); -#7333=FILL_AREA_STYLE_COLOUR('',#7377); -#7334=FILL_AREA_STYLE_COLOUR('',#7377); -#7335=FILL_AREA_STYLE_COLOUR('',#7377); -#7336=FILL_AREA_STYLE_COLOUR('',#7377); -#7337=FILL_AREA_STYLE_COLOUR('',#7377); -#7338=FILL_AREA_STYLE_COLOUR('',#7377); -#7339=FILL_AREA_STYLE_COLOUR('',#7377); -#7340=FILL_AREA_STYLE_COLOUR('',#7377); -#7341=FILL_AREA_STYLE_COLOUR('',#7377); -#7342=FILL_AREA_STYLE_COLOUR('',#7377); -#7343=FILL_AREA_STYLE_COLOUR('',#7377); -#7344=FILL_AREA_STYLE_COLOUR('',#7377); -#7345=FILL_AREA_STYLE_COLOUR('',#7377); -#7346=FILL_AREA_STYLE_COLOUR('',#7377); -#7347=FILL_AREA_STYLE_COLOUR('',#7377); -#7348=FILL_AREA_STYLE_COLOUR('',#7377); -#7349=FILL_AREA_STYLE_COLOUR('',#7377); -#7350=FILL_AREA_STYLE_COLOUR('',#7377); -#7351=FILL_AREA_STYLE_COLOUR('',#7377); -#7352=FILL_AREA_STYLE_COLOUR('',#7377); -#7353=FILL_AREA_STYLE_COLOUR('',#7377); -#7354=FILL_AREA_STYLE_COLOUR('',#7377); -#7355=FILL_AREA_STYLE_COLOUR('',#7377); -#7356=FILL_AREA_STYLE_COLOUR('',#7377); -#7357=FILL_AREA_STYLE_COLOUR('',#7377); -#7358=FILL_AREA_STYLE_COLOUR('',#7377); -#7359=FILL_AREA_STYLE_COLOUR('',#7377); -#7360=FILL_AREA_STYLE_COLOUR('',#7377); -#7361=FILL_AREA_STYLE_COLOUR('',#7377); -#7362=FILL_AREA_STYLE_COLOUR('',#7377); -#7363=FILL_AREA_STYLE_COLOUR('',#7377); -#7364=FILL_AREA_STYLE_COLOUR('',#7377); -#7365=FILL_AREA_STYLE_COLOUR('',#7377); -#7366=FILL_AREA_STYLE_COLOUR('',#7377); -#7367=FILL_AREA_STYLE_COLOUR('',#7377); -#7368=FILL_AREA_STYLE_COLOUR('',#7377); -#7369=FILL_AREA_STYLE_COLOUR('',#7377); -#7370=FILL_AREA_STYLE_COLOUR('',#7377); -#7371=FILL_AREA_STYLE_COLOUR('',#7377); -#7372=FILL_AREA_STYLE_COLOUR('',#7374); -#7373=FILL_AREA_STYLE_COLOUR('',#7374); -#7374=COLOUR_RGB('',0.699999988079071,0.699999988079071,0.699999988079071); -#7375=COLOUR_RGB('',0.39215686917305,0.600000023841858,0.39215686917305); -#7376=COLOUR_RGB('',0.467999994754791,0.720000028610229,0.467999994754791); -#7377=COLOUR_RGB('',0.506983280181885,0.531564235687256,0.550000011920929); -#7378=ADVANCED_FACE('',(#5064),#5428,.T.); -#7379=ADVANCED_FACE('',(#5065),#5429,.T.); -#7380=ADVANCED_FACE('',(#5066),#427,.F.); -#7381=ADVANCED_FACE('',(#5067),#428,.F.); -#7382=ADVANCED_FACE('',(#5068),#429,.T.); -#7383=ADVANCED_FACE('',(#5069),#430,.T.); -#7384=ADVANCED_FACE('',(#5070),#431,.T.); -#7385=ADVANCED_FACE('',(#5071),#432,.F.); -#7386=ADVANCED_FACE('',(#5072),#433,.T.); -#7387=ADVANCED_FACE('',(#5073),#434,.T.); -#7388=ADVANCED_FACE('',(#5074),#435,.T.); -#7389=ADVANCED_FACE('',(#5075),#436,.T.); -#7390=ADVANCED_FACE('',(#5076),#437,.F.); -#7391=ADVANCED_FACE('',(#5077,#5078),#438,.T.); -#7392=ADVANCED_FACE('',(#5079),#439,.T.); -#7393=ADVANCED_FACE('',(#5080),#440,.T.); -#7394=ADVANCED_FACE('',(#5081),#441,.T.); -#7395=ADVANCED_FACE('',(#5082),#442,.T.); -#7396=ADVANCED_FACE('',(#5083,#5084,#5085),#443,.T.); -#7397=ADVANCED_FACE('',(#5086,#5087),#444,.T.); -#7398=ADVANCED_FACE('',(#5088),#445,.T.); -#7399=ADVANCED_FACE('',(#5089),#446,.F.); -#7400=ADVANCED_FACE('',(#5090),#447,.F.); -#7401=ADVANCED_FACE('',(#5091),#448,.T.); -#7402=ADVANCED_FACE('',(#5092),#449,.T.); -#7403=ADVANCED_FACE('',(#5093),#450,.F.); -#7404=ADVANCED_FACE('',(#5094),#451,.F.); -#7405=ADVANCED_FACE('',(#5095),#452,.F.); -#7406=ADVANCED_FACE('',(#5096),#453,.F.); -#7407=ADVANCED_FACE('',(#5097),#454,.T.); -#7408=ADVANCED_FACE('',(#5098),#455,.F.); -#7409=ADVANCED_FACE('',(#5099),#456,.T.); -#7410=ADVANCED_FACE('',(#5100),#457,.F.); -#7411=ADVANCED_FACE('',(#5101),#458,.T.); -#7412=ADVANCED_FACE('',(#5102),#459,.T.); -#7413=ADVANCED_FACE('',(#5103),#460,.F.); -#7414=ADVANCED_FACE('',(#5104),#461,.F.); -#7415=ADVANCED_FACE('',(#5105),#462,.T.); -#7416=ADVANCED_FACE('',(#5106),#463,.F.); -#7417=ADVANCED_FACE('',(#5107),#464,.F.); -#7418=ADVANCED_FACE('',(#5108),#465,.F.); -#7419=ADVANCED_FACE('',(#5109),#466,.T.); -#7420=ADVANCED_FACE('',(#5110),#467,.T.); -#7421=ADVANCED_FACE('',(#5111),#468,.T.); -#7422=ADVANCED_FACE('',(#5112),#469,.F.); -#7423=ADVANCED_FACE('',(#5113),#5430,.T.); -#7424=ADVANCED_FACE('',(#5114),#5431,.T.); -#7425=ADVANCED_FACE('',(#5115),#470,.T.); -#7426=ADVANCED_FACE('',(#5116),#471,.T.); -#7427=ADVANCED_FACE('',(#5117),#472,.T.); -#7428=ADVANCED_FACE('',(#5118),#473,.F.); -#7429=ADVANCED_FACE('',(#5119),#5432,.T.); -#7430=ADVANCED_FACE('',(#5120),#5433,.T.); -#7431=ADVANCED_FACE('',(#5121),#5434,.T.); -#7432=ADVANCED_FACE('',(#5122),#5435,.T.); -#7433=ADVANCED_FACE('',(#5123),#474,.F.); -#7434=ADVANCED_FACE('',(#5124),#475,.F.); -#7435=ADVANCED_FACE('',(#5125),#476,.F.); -#7436=ADVANCED_FACE('',(#5126),#477,.F.); -#7437=ADVANCED_FACE('',(#5127),#478,.F.); -#7438=ADVANCED_FACE('',(#5128),#479,.F.); -#7439=ADVANCED_FACE('',(#5129),#480,.F.); -#7440=ADVANCED_FACE('',(#5130),#481,.T.); -#7441=ADVANCED_FACE('',(#5131),#482,.F.); -#7442=ADVANCED_FACE('',(#5132),#483,.F.); -#7443=ADVANCED_FACE('',(#5133),#484,.F.); -#7444=ADVANCED_FACE('',(#5134),#485,.F.); -#7445=ADVANCED_FACE('',(#5135),#486,.F.); -#7446=ADVANCED_FACE('',(#5136),#487,.F.); -#7447=ADVANCED_FACE('',(#5137),#488,.F.); -#7448=ADVANCED_FACE('',(#5138),#489,.T.); -#7449=ADVANCED_FACE('',(#5139),#490,.T.); -#7450=ADVANCED_FACE('',(#5140),#491,.T.); -#7451=ADVANCED_FACE('',(#5141),#492,.T.); -#7452=ADVANCED_FACE('',(#5142),#493,.T.); -#7453=ADVANCED_FACE('',(#5143),#494,.T.); -#7454=ADVANCED_FACE('',(#5144),#495,.T.); -#7455=ADVANCED_FACE('',(#5145),#496,.T.); -#7456=ADVANCED_FACE('',(#5146),#497,.F.); -#7457=ADVANCED_FACE('',(#5147),#498,.T.); -#7458=ADVANCED_FACE('',(#5148),#499,.T.); -#7459=ADVANCED_FACE('',(#5149),#500,.T.); -#7460=ADVANCED_FACE('',(#5150),#501,.T.); -#7461=ADVANCED_FACE('',(#5151),#502,.T.); -#7462=ADVANCED_FACE('',(#5152),#503,.T.); -#7463=ADVANCED_FACE('',(#5153),#504,.T.); -#7464=ADVANCED_FACE('',(#5154),#505,.F.); -#7465=ADVANCED_FACE('',(#5155),#506,.T.); -#7466=ADVANCED_FACE('',(#5156),#507,.T.); -#7467=ADVANCED_FACE('',(#5157),#508,.T.); -#7468=ADVANCED_FACE('',(#5158),#509,.T.); -#7469=ADVANCED_FACE('',(#5159),#510,.F.); -#7470=ADVANCED_FACE('',(#5160),#511,.F.); -#7471=ADVANCED_FACE('',(#5161),#512,.F.); -#7472=ADVANCED_FACE('',(#5162),#513,.T.); -#7473=ADVANCED_FACE('',(#5163),#514,.T.); -#7474=ADVANCED_FACE('',(#5164),#515,.T.); -#7475=ADVANCED_FACE('',(#5165),#516,.F.); -#7476=ADVANCED_FACE('',(#5166),#5436,.T.); -#7477=ADVANCED_FACE('',(#5167),#5437,.T.); -#7478=ADVANCED_FACE('',(#5168),#388,.T.); -#7479=ADVANCED_FACE('',(#5169),#517,.T.); -#7480=ADVANCED_FACE('',(#5170),#389,.T.); -#7481=ADVANCED_FACE('',(#5171),#518,.T.); -#7482=ADVANCED_FACE('',(#5172),#519,.T.); -#7483=ADVANCED_FACE('',(#5173),#390,.T.); -#7484=ADVANCED_FACE('',(#5174),#520,.T.); -#7485=ADVANCED_FACE('',(#5175,#5176),#521,.T.); -#7486=ADVANCED_FACE('',(#5177,#5178),#522,.F.); -#7487=ADVANCED_FACE('',(#5179),#391,.T.); -#7488=ADVANCED_FACE('',(#5180),#523,.T.); -#7489=ADVANCED_FACE('',(#5181),#392,.T.); -#7490=ADVANCED_FACE('',(#5182),#524,.T.); -#7491=ADVANCED_FACE('',(#5183),#525,.F.); -#7492=ADVANCED_FACE('',(#5184),#526,.T.); -#7493=ADVANCED_FACE('',(#5185),#393,.T.); -#7494=ADVANCED_FACE('',(#5186),#527,.T.); -#7495=ADVANCED_FACE('',(#5187),#394,.T.); -#7496=ADVANCED_FACE('',(#5188),#528,.T.); -#7497=ADVANCED_FACE('',(#5189),#529,.T.); -#7498=ADVANCED_FACE('',(#5190),#395,.T.); -#7499=ADVANCED_FACE('',(#5191),#530,.T.); -#7500=ADVANCED_FACE('',(#5192,#5193),#531,.T.); -#7501=ADVANCED_FACE('',(#5194,#5195),#532,.F.); -#7502=ADVANCED_FACE('',(#5196,#5197),#396,.T.); -#7503=ADVANCED_FACE('',(#5198,#5199),#397,.T.); -#7504=ADVANCED_FACE('',(#5200,#5201),#533,.T.); -#7505=ADVANCED_FACE('',(#5202,#5203),#534,.F.); -#7506=ADVANCED_FACE('',(#5204),#398,.T.); -#7507=ADVANCED_FACE('',(#5205),#535,.T.); -#7508=ADVANCED_FACE('',(#5206),#399,.T.); -#7509=ADVANCED_FACE('',(#5207),#536,.T.); -#7510=ADVANCED_FACE('',(#5208),#537,.T.); -#7511=ADVANCED_FACE('',(#5209),#400,.T.); -#7512=ADVANCED_FACE('',(#5210),#538,.T.); -#7513=ADVANCED_FACE('',(#5211,#5212),#539,.T.); -#7514=ADVANCED_FACE('',(#5213,#5214),#540,.F.); -#7515=ADVANCED_FACE('',(#5215),#541,.T.); -#7516=ADVANCED_FACE('',(#5216),#401,.T.); -#7517=ADVANCED_FACE('',(#5217),#402,.T.); -#7518=ADVANCED_FACE('',(#5218),#542,.T.); -#7519=ADVANCED_FACE('',(#5219),#403,.T.); -#7520=ADVANCED_FACE('',(#5220),#404,.T.); -#7521=ADVANCED_FACE('',(#5221,#5222),#543,.T.); -#7522=ADVANCED_FACE('',(#5223,#5224),#544,.F.); -#7523=ADVANCED_FACE('',(#5225),#545,.T.); -#7524=ADVANCED_FACE('',(#5226),#546,.T.); -#7525=ADVANCED_FACE('',(#5227),#547,.T.); -#7526=ADVANCED_FACE('',(#5228),#548,.T.); -#7527=ADVANCED_FACE('',(#5229),#549,.T.); -#7528=ADVANCED_FACE('',(#5230),#550,.F.); -#7529=ADVANCED_FACE('',(#5231),#551,.T.); -#7530=ADVANCED_FACE('',(#5232),#552,.T.); -#7531=ADVANCED_FACE('',(#5233),#553,.T.); -#7532=ADVANCED_FACE('',(#5234),#554,.T.); -#7533=ADVANCED_FACE('',(#5235),#555,.T.); -#7534=ADVANCED_FACE('',(#5236),#556,.F.); -#7535=ADVANCED_FACE('',(#5237),#405,.T.); -#7536=ADVANCED_FACE('',(#5238),#557,.T.); -#7537=ADVANCED_FACE('',(#5239),#406,.T.); -#7538=ADVANCED_FACE('',(#5240),#558,.T.); -#7539=ADVANCED_FACE('',(#5241),#559,.T.); -#7540=ADVANCED_FACE('',(#5242),#560,.T.); -#7541=ADVANCED_FACE('',(#5243),#561,.T.); -#7542=ADVANCED_FACE('',(#5244),#562,.T.); -#7543=ADVANCED_FACE('',(#5245),#563,.T.); -#7544=ADVANCED_FACE('',(#5246),#564,.F.); -#7545=ADVANCED_FACE('',(#5247,#5248),#407,.T.); -#7546=ADVANCED_FACE('',(#5249,#5250),#408,.T.); -#7547=ADVANCED_FACE('',(#5251,#5252),#565,.T.); -#7548=ADVANCED_FACE('',(#5253,#5254),#566,.F.); -#7549=ADVANCED_FACE('',(#5255),#567,.T.); -#7550=ADVANCED_FACE('',(#5256),#409,.T.); -#7551=ADVANCED_FACE('',(#5257),#568,.T.); -#7552=ADVANCED_FACE('',(#5258),#410,.T.); -#7553=ADVANCED_FACE('',(#5259),#569,.T.); -#7554=ADVANCED_FACE('',(#5260),#570,.T.); -#7555=ADVANCED_FACE('',(#5261),#571,.F.); -#7556=ADVANCED_FACE('',(#5262),#411,.T.); -#7557=ADVANCED_FACE('',(#5263),#572,.T.); -#7558=ADVANCED_FACE('',(#5264),#412,.T.); -#7559=ADVANCED_FACE('',(#5265),#573,.T.); -#7560=ADVANCED_FACE('',(#5266),#574,.T.); -#7561=ADVANCED_FACE('',(#5267),#575,.T.); -#7562=ADVANCED_FACE('',(#5268),#576,.T.); -#7563=ADVANCED_FACE('',(#5269),#577,.F.); -#7564=ADVANCED_FACE('',(#5270),#578,.T.); -#7565=ADVANCED_FACE('',(#5271),#579,.T.); -#7566=ADVANCED_FACE('',(#5272),#580,.T.); -#7567=ADVANCED_FACE('',(#5273),#581,.T.); -#7568=ADVANCED_FACE('',(#5274),#582,.T.); -#7569=ADVANCED_FACE('',(#5275),#583,.T.); -#7570=ADVANCED_FACE('',(#5276),#584,.T.); -#7571=ADVANCED_FACE('',(#5277),#585,.T.); -#7572=ADVANCED_FACE('',(#5278),#586,.T.); -#7573=ADVANCED_FACE('',(#5279),#587,.T.); -#7574=ADVANCED_FACE('',(#5280),#588,.T.); -#7575=ADVANCED_FACE('',(#5281),#589,.T.); -#7576=ADVANCED_FACE('',(#5282),#590,.T.); -#7577=ADVANCED_FACE('',(#5283),#591,.T.); -#7578=ADVANCED_FACE('',(#5284),#592,.F.); -#7579=ADVANCED_FACE('',(#5285),#593,.T.); -#7580=ADVANCED_FACE('',(#5286),#413,.T.); -#7581=ADVANCED_FACE('',(#5287),#414,.T.); -#7582=ADVANCED_FACE('',(#5288),#594,.T.); -#7583=ADVANCED_FACE('',(#5289),#415,.T.); -#7584=ADVANCED_FACE('',(#5290),#416,.T.); -#7585=ADVANCED_FACE('',(#5291),#595,.T.); -#7586=ADVANCED_FACE('',(#5292),#596,.F.); -#7587=ADVANCED_FACE('',(#5293),#417,.T.); -#7588=ADVANCED_FACE('',(#5294),#597,.T.); -#7589=ADVANCED_FACE('',(#5295),#418,.T.); -#7590=ADVANCED_FACE('',(#5296),#598,.T.); -#7591=ADVANCED_FACE('',(#5297),#599,.T.); -#7592=ADVANCED_FACE('',(#5298),#600,.T.); -#7593=ADVANCED_FACE('',(#5299),#601,.T.); -#7594=ADVANCED_FACE('',(#5300),#602,.T.); -#7595=ADVANCED_FACE('',(#5301),#603,.T.); -#7596=ADVANCED_FACE('',(#5302),#604,.F.); -#7597=ADVANCED_FACE('',(#5303),#419,.T.); -#7598=ADVANCED_FACE('',(#5304),#605,.T.); -#7599=ADVANCED_FACE('',(#5305),#420,.T.); -#7600=ADVANCED_FACE('',(#5306),#606,.T.); -#7601=ADVANCED_FACE('',(#5307),#607,.F.); -#7602=ADVANCED_FACE('',(#5308),#608,.T.); -#7603=ADVANCED_FACE('',(#5309),#609,.T.); -#7604=ADVANCED_FACE('',(#5310),#610,.T.); -#7605=ADVANCED_FACE('',(#5311),#611,.T.); -#7606=ADVANCED_FACE('',(#5312),#612,.T.); -#7607=ADVANCED_FACE('',(#5313),#613,.T.); -#7608=ADVANCED_FACE('',(#5314),#614,.T.); -#7609=ADVANCED_FACE('',(#5315),#615,.T.); -#7610=ADVANCED_FACE('',(#5316),#616,.F.); -#7611=ADVANCED_FACE('',(#5317),#617,.T.); -#7612=ADVANCED_FACE('',(#5318),#421,.T.); -#7613=ADVANCED_FACE('',(#5319),#618,.T.); -#7614=ADVANCED_FACE('',(#5320),#619,.T.); -#7615=ADVANCED_FACE('',(#5321),#620,.T.); -#7616=ADVANCED_FACE('',(#5322),#621,.T.); -#7617=ADVANCED_FACE('',(#5323),#622,.T.); -#7618=ADVANCED_FACE('',(#5324),#623,.T.); -#7619=ADVANCED_FACE('',(#5325),#624,.T.); -#7620=ADVANCED_FACE('',(#5326),#625,.T.); -#7621=ADVANCED_FACE('',(#5327),#626,.T.); -#7622=ADVANCED_FACE('',(#5328),#422,.T.); -#7623=ADVANCED_FACE('',(#5329),#627,.T.); -#7624=ADVANCED_FACE('',(#5330),#628,.F.); -#7625=ADVANCED_FACE('',(#5331),#629,.T.); -#7626=ADVANCED_FACE('',(#5332),#630,.T.); -#7627=ADVANCED_FACE('',(#5333),#423,.T.); -#7628=ADVANCED_FACE('',(#5334),#631,.T.); -#7629=ADVANCED_FACE('',(#5335),#632,.T.); -#7630=ADVANCED_FACE('',(#5336),#633,.T.); -#7631=ADVANCED_FACE('',(#5337),#634,.T.); -#7632=ADVANCED_FACE('',(#5338),#635,.T.); -#7633=ADVANCED_FACE('',(#5339),#636,.T.); -#7634=ADVANCED_FACE('',(#5340),#637,.T.); -#7635=ADVANCED_FACE('',(#5341),#638,.T.); -#7636=ADVANCED_FACE('',(#5342),#639,.T.); -#7637=ADVANCED_FACE('',(#5343),#424,.T.); -#7638=ADVANCED_FACE('',(#5344),#640,.T.); -#7639=ADVANCED_FACE('',(#5345),#641,.F.); -#7640=ADVANCED_FACE('',(#5346),#642,.T.); -#7641=ADVANCED_FACE('',(#5347),#643,.T.); -#7642=ADVANCED_FACE('',(#5348),#644,.T.); -#7643=ADVANCED_FACE('',(#5349),#425,.T.); -#7644=ADVANCED_FACE('',(#5350),#645,.T.); -#7645=ADVANCED_FACE('',(#5351),#646,.T.); -#7646=ADVANCED_FACE('',(#5352),#647,.T.); -#7647=ADVANCED_FACE('',(#5353),#426,.T.); -#7648=ADVANCED_FACE('',(#5354),#648,.F.); -#7649=ADVANCED_FACE('',(#5355),#649,.T.); -#7650=ADVANCED_FACE('',(#5356),#650,.F.); -#7651=ADVANCED_FACE('',(#5357),#38,.T.); -#7652=ADVANCED_FACE('',(#5358),#39,.T.); -#7653=ADVANCED_FACE('',(#5359),#651,.F.); -#7654=ADVANCED_FACE('',(#5360),#652,.F.); -#7655=ADVANCED_FACE('',(#5361),#653,.F.); -#7656=ADVANCED_FACE('',(#5362),#654,.F.); -#7657=ADVANCED_FACE('',(#5363),#655,.F.); -#7658=ADVANCED_FACE('',(#5364),#656,.F.); -#7659=ADVANCED_FACE('',(#5365,#5366),#5438,.T.); -#7660=ADVANCED_FACE('',(#5367),#657,.F.); -#7661=ADVANCED_FACE('',(#5368),#658,.T.); -#7662=ADVANCED_FACE('',(#5369,#5370),#40,.T.); -#7663=ADVANCED_FACE('',(#5371),#659,.F.); -#7664=ADVANCED_FACE('',(#5372),#660,.F.); -#7665=ADVANCED_FACE('',(#5373),#661,.F.); -#7666=ADVANCED_FACE('',(#5374),#662,.F.); -#7667=ADVANCED_FACE('',(#5375),#663,.F.); -#7668=ADVANCED_FACE('',(#5376),#664,.F.); -#7669=ADVANCED_FACE('',(#5377),#665,.F.); -#7670=ADVANCED_FACE('',(#5378),#5439,.T.); -#7671=ADVANCED_FACE('',(#5379),#5440,.T.); -#7672=ADVANCED_FACE('',(#5380),#18,.T.); -#7673=ADVANCED_FACE('',(#5381),#19,.T.); -#7674=ADVANCED_FACE('',(#5382),#20,.T.); -#7675=ADVANCED_FACE('',(#5383),#5441,.T.); -#7676=ADVANCED_FACE('',(#5384),#5442,.T.); -#7677=ADVANCED_FACE('',(#5385),#5443,.T.); -#7678=ADVANCED_FACE('',(#5386),#5444,.T.); -#7679=ADVANCED_FACE('',(#5387),#21,.T.); -#7680=ADVANCED_FACE('',(#5388),#22,.T.); -#7681=ADVANCED_FACE('',(#5389),#23,.T.); -#7682=ADVANCED_FACE('',(#5390),#5445,.T.); -#7683=ADVANCED_FACE('',(#5391),#5446,.T.); -#7684=ADVANCED_FACE('',(#5392),#5447,.T.); -#7685=ADVANCED_FACE('',(#5393),#5448,.T.); -#7686=ADVANCED_FACE('',(#5394),#24,.T.); -#7687=ADVANCED_FACE('',(#5395),#25,.T.); -#7688=ADVANCED_FACE('',(#5396),#26,.T.); -#7689=ADVANCED_FACE('',(#5397),#5449,.T.); -#7690=ADVANCED_FACE('',(#5398),#5450,.T.); -#7691=ADVANCED_FACE('',(#5399),#5451,.T.); -#7692=ADVANCED_FACE('',(#5400),#5452,.T.); -#7693=ADVANCED_FACE('',(#5401),#5453,.T.); -#7694=ADVANCED_FACE('',(#5402),#27,.T.); -#7695=ADVANCED_FACE('',(#5403),#5454,.T.); -#7696=ADVANCED_FACE('',(#5404),#28,.T.); -#7697=ADVANCED_FACE('',(#5405),#29,.T.); -#7698=ADVANCED_FACE('',(#5406),#666,.F.); -#7699=ADVANCED_FACE('',(#5407),#667,.F.); -#7700=ADVANCED_FACE('',(#5408),#668,.F.); -#7701=ADVANCED_FACE('',(#5409),#669,.F.); -#7702=ADVANCED_FACE('',(#5410),#670,.F.); -#7703=ADVANCED_FACE('',(#5411),#671,.F.); -#7704=ADVANCED_FACE('',(#5412),#672,.F.); -#7705=ADVANCED_FACE('',(#5413),#673,.F.); -#7706=ADVANCED_FACE('',(#5414),#674,.F.); -#7707=ADVANCED_FACE('',(#5415),#675,.F.); -#7708=ADVANCED_FACE('',(#5416),#676,.F.); -#7709=ADVANCED_FACE('',(#5417),#41,.T.); -#7710=ADVANCED_FACE('',(#5418),#42,.T.); -#7711=ADVANCED_FACE('',(#5419,#5420),#43,.T.); -#7712=ADVANCED_FACE('',(#5421,#5422),#5455,.T.); -#7713=ADVANCED_FACE('',(#5423),#677,.F.); -#7714=ADVANCED_FACE('',(#5424),#678,.T.); -#7715=ADVANCED_FACE('',(#5425),#679,.F.); -#7716=ADVANCED_FACE('',(#5426),#680,.F.); -#7717=ADVANCED_FACE('',(#5427),#681,.F.); -#7718=CLOSED_SHELL('',(#7378,#7379,#7380,#7381,#7382,#7383,#7384,#7385, -#7386,#7387,#7388,#7389,#7390,#7391,#7392,#7393,#7394,#7395,#7396,#7397, -#7398,#7399,#7400,#7401,#7402,#7403,#7404,#7405,#7406,#7407,#7408,#7409, -#7410,#7411,#7412,#7413,#7414,#7415,#7416,#7417,#7418,#7419,#7420,#7421, -#7422,#7423,#7424,#7425,#7426,#7427,#7428,#7429,#7430,#7431,#7432,#7433, -#7434,#7435,#7436,#7437,#7438,#7439,#7440,#7441,#7442,#7443,#7444,#7445, -#7446,#7447,#7448,#7449,#7450,#7451,#7452,#7453,#7454,#7455,#7456,#7457, -#7458,#7459,#7460,#7461,#7462,#7463,#7464,#7465,#7466,#7467,#7468,#7469, -#7470,#7471,#7472,#7473,#7474,#7475,#7476,#7477)); -#7719=CLOSED_SHELL('',(#7478,#7479,#7480,#7481,#7482,#7483,#7484,#7485, -#7486)); -#7720=CLOSED_SHELL('',(#7487,#7488,#7489,#7490,#7491,#7492)); -#7721=CLOSED_SHELL('',(#7493,#7494,#7495,#7496,#7497,#7498,#7499,#7500, -#7501)); -#7722=CLOSED_SHELL('',(#7502,#7503,#7504,#7505)); -#7723=CLOSED_SHELL('',(#7506,#7507,#7508,#7509,#7510,#7511,#7512,#7513, -#7514)); -#7724=CLOSED_SHELL('',(#7515,#7516,#7517,#7518,#7519,#7520,#7521,#7522)); -#7725=CLOSED_SHELL('',(#7523,#7524,#7525,#7526,#7527,#7528)); -#7726=CLOSED_SHELL('',(#7529,#7530,#7531,#7532,#7533,#7534)); -#7727=CLOSED_SHELL('',(#7535,#7536,#7537,#7538,#7539,#7540,#7541,#7542, -#7543,#7544)); -#7728=CLOSED_SHELL('',(#7545,#7546,#7547,#7548)); -#7729=CLOSED_SHELL('',(#7549,#7550,#7551,#7552,#7553,#7554,#7555)); -#7730=CLOSED_SHELL('',(#7556,#7557,#7558,#7559,#7560,#7561,#7562,#7563)); -#7731=CLOSED_SHELL('',(#7564,#7565,#7566,#7567,#7568,#7569,#7570,#7571, -#7572,#7573,#7574,#7575,#7576,#7577,#7578)); -#7732=CLOSED_SHELL('',(#7579,#7580,#7581,#7582,#7583,#7584,#7585,#7586)); -#7733=CLOSED_SHELL('',(#7587,#7588,#7589,#7590,#7591,#7592,#7593,#7594, -#7595,#7596)); -#7734=CLOSED_SHELL('',(#7597,#7598,#7599,#7600,#7601,#7602)); -#7735=CLOSED_SHELL('',(#7603,#7604,#7605,#7606,#7607,#7608,#7609,#7610)); -#7736=CLOSED_SHELL('',(#7611,#7612,#7613,#7614,#7615,#7616,#7617,#7618, -#7619,#7620,#7621,#7622,#7623,#7624,#7625)); -#7737=CLOSED_SHELL('',(#7626,#7627,#7628,#7629,#7630,#7631,#7632,#7633, -#7634,#7635,#7636,#7637,#7638,#7639,#7640)); -#7738=CLOSED_SHELL('',(#7641,#7642,#7643,#7644,#7645,#7646,#7647,#7648, -#7649)); -#7739=CLOSED_SHELL('',(#7650,#7651,#7652,#7653,#7654,#7655,#7656,#7657, -#7658,#7659,#7660,#7661,#7662,#7663,#7664,#7665,#7666,#7667,#7668,#7669, -#7670,#7671,#7672,#7673,#7674,#7675,#7676,#7677,#7678,#7679,#7680,#7681, -#7682,#7683)); -#7740=CLOSED_SHELL('',(#7684,#7685,#7686,#7687,#7688,#7689,#7690,#7691, -#7692,#7693,#7694,#7695,#7696,#7697,#7698,#7699,#7700,#7701,#7702,#7703, -#7704,#7705,#7706,#7707,#7708,#7709,#7710,#7711,#7712,#7713,#7714,#7715, -#7716,#7717)); -#7741=BREP_WITH_VOIDS('',#7718,(#48,#49,#50,#51,#52,#53,#54,#55,#56,#57, -#58,#59,#60,#61,#62,#63,#64,#65,#66,#67)); -#7742=SHAPE_DEFINITION_REPRESENTATION(#13506,#7743); -#7743=SHAPE_REPRESENTATION('Terminal Block - 7.62mm - 2 Pin',(#7744),#13501); -#7744=AXIS2_PLACEMENT_3D('',#9240,#8090,#8091); -#7745=AXIS2_PLACEMENT_3D('',#9241,#8092,#8093); -#7746=AXIS2_PLACEMENT_3D('',#9242,#8094,#8095); -#7747=AXIS2_PLACEMENT_3D('',#9247,#8097,#8098); -#7748=AXIS2_PLACEMENT_3D('',#9250,#8100,#8101); -#7749=AXIS2_PLACEMENT_3D('',#9251,#8102,#8103); -#7750=AXIS2_PLACEMENT_3D('',#9256,#8105,#8106); -#7751=AXIS2_PLACEMENT_3D('',#9259,#8108,#8109); -#7752=AXIS2_PLACEMENT_3D('',#9265,#8113,#8114); -#7753=AXIS2_PLACEMENT_3D('',#9271,#8118,#8119); -#7754=AXIS2_PLACEMENT_3D('',#9273,#8121,#8122); -#7755=AXIS2_PLACEMENT_3D('',#9279,#8126,#8127); -#7756=AXIS2_PLACEMENT_3D('',#9285,#8131,#8132); -#7757=AXIS2_PLACEMENT_3D('',#9287,#8134,#8135); -#7758=AXIS2_PLACEMENT_3D('',#9296,#8140,#8141); -#7759=AXIS2_PLACEMENT_3D('',#9303,#8146,#8147); -#7760=AXIS2_PLACEMENT_3D('',#9309,#8151,#8152); -#7761=AXIS2_PLACEMENT_3D('',#9313,#8156,#8157); -#7762=AXIS2_PLACEMENT_3D('',#9314,#8158,#8159); -#7763=AXIS2_PLACEMENT_3D('',#9347,#8176,#8177); -#7764=AXIS2_PLACEMENT_3D('',#9357,#8182,#8183); -#7765=AXIS2_PLACEMENT_3D('',#9361,#8185,#8186); -#7766=AXIS2_PLACEMENT_3D('',#9376,#8194,#8195); -#7767=AXIS2_PLACEMENT_3D('',#9385,#8200,#8201); -#7768=AXIS2_PLACEMENT_3D('',#9394,#8206,#8207); -#7769=AXIS2_PLACEMENT_3D('',#9400,#8211,#8212); -#7770=AXIS2_PLACEMENT_3D('',#9504,#8267,#8268); -#7771=AXIS2_PLACEMENT_3D('',#9513,#8273,#8274); -#7772=AXIS2_PLACEMENT_3D('',#9517,#8277,#8278); -#7773=AXIS2_PLACEMENT_3D('',#9526,#8283,#8284); -#7774=AXIS2_PLACEMENT_3D('',#9532,#8288,#8289); -#7775=AXIS2_PLACEMENT_3D('',#9538,#8293,#8294); -#7776=AXIS2_PLACEMENT_3D('',#9544,#8298,#8299); -#7777=AXIS2_PLACEMENT_3D('',#9553,#8304,#8305); -#7778=AXIS2_PLACEMENT_3D('',#9559,#8309,#8310); -#7779=AXIS2_PLACEMENT_3D('',#9567,#8315,#8316); -#7780=AXIS2_PLACEMENT_3D('',#9575,#8321,#8322); -#7781=AXIS2_PLACEMENT_3D('',#9578,#8325,#8326); -#7782=AXIS2_PLACEMENT_3D('',#9580,#8328,#8329); -#7783=AXIS2_PLACEMENT_3D('',#9581,#8330,#8331); -#7784=AXIS2_PLACEMENT_3D('',#9582,#8332,#8333); -#7785=AXIS2_PLACEMENT_3D('',#9588,#8337,#8338); -#7786=AXIS2_PLACEMENT_3D('',#9589,#8339,#8340); -#7787=AXIS2_PLACEMENT_3D('',#9595,#8344,#8345); -#7788=AXIS2_PLACEMENT_3D('',#9604,#8350,#8351); -#7789=AXIS2_PLACEMENT_3D('',#9613,#8356,#8357); -#7790=AXIS2_PLACEMENT_3D('',#9616,#8360,#8361); -#7791=AXIS2_PLACEMENT_3D('',#9619,#8364,#8365); -#7792=AXIS2_PLACEMENT_3D('',#9621,#8367,#8368); -#7793=AXIS2_PLACEMENT_3D('',#9623,#8370,#8371); -#7794=AXIS2_PLACEMENT_3D('',#9624,#8372,#8373); -#7795=AXIS2_PLACEMENT_3D('',#9625,#8374,#8375); -#7796=AXIS2_PLACEMENT_3D('',#9626,#8376,#8377); -#7797=AXIS2_PLACEMENT_3D('',#9629,#8380,#8381); -#7798=AXIS2_PLACEMENT_3D('',#9630,#8382,#8383); -#7799=AXIS2_PLACEMENT_3D('',#9633,#8386,#8387); -#7800=AXIS2_PLACEMENT_3D('',#9634,#8388,#8389); -#7801=AXIS2_PLACEMENT_3D('',#9635,#8390,#8391); -#7802=AXIS2_PLACEMENT_3D('',#9636,#8392,#8393); -#7803=AXIS2_PLACEMENT_3D('',#9637,#8394,#8395); -#7804=AXIS2_PLACEMENT_3D('',#9638,#8396,#8397); -#7805=AXIS2_PLACEMENT_3D('',#9639,#8398,#8399); -#7806=AXIS2_PLACEMENT_3D('',#9640,#8400,#8401); -#7807=AXIS2_PLACEMENT_3D('',#9643,#8404,#8405); -#7808=AXIS2_PLACEMENT_3D('',#9644,#8406,#8407); -#7809=AXIS2_PLACEMENT_3D('',#9647,#8410,#8411); -#7810=AXIS2_PLACEMENT_3D('',#9648,#8412,#8413); -#7811=AXIS2_PLACEMENT_3D('',#9649,#8414,#8415); -#7812=AXIS2_PLACEMENT_3D('',#9650,#8416,#8417); -#7813=AXIS2_PLACEMENT_3D('',#9651,#8418,#8419); -#7814=AXIS2_PLACEMENT_3D('',#9652,#8420,#8421); -#7815=AXIS2_PLACEMENT_3D('',#9653,#8422,#8423); -#7816=AXIS2_PLACEMENT_3D('',#9654,#8424,#8425); -#7817=AXIS2_PLACEMENT_3D('',#9660,#8429,#8430); -#7818=AXIS2_PLACEMENT_3D('',#9664,#8433,#8434); -#7819=AXIS2_PLACEMENT_3D('',#9668,#8437,#8438); -#7820=AXIS2_PLACEMENT_3D('',#9670,#8440,#8441); -#7821=AXIS2_PLACEMENT_3D('',#9674,#8444,#8445); -#7822=AXIS2_PLACEMENT_3D('',#9678,#8448,#8449); -#7823=AXIS2_PLACEMENT_3D('',#9680,#8451,#8452); -#7824=AXIS2_PLACEMENT_3D('',#9681,#8453,#8454); -#7825=AXIS2_PLACEMENT_3D('',#9687,#8458,#8459); -#7826=AXIS2_PLACEMENT_3D('',#9691,#8462,#8463); -#7827=AXIS2_PLACEMENT_3D('',#9695,#8466,#8467); -#7828=AXIS2_PLACEMENT_3D('',#9697,#8469,#8470); -#7829=AXIS2_PLACEMENT_3D('',#9701,#8473,#8474); -#7830=AXIS2_PLACEMENT_3D('',#9705,#8477,#8478); -#7831=AXIS2_PLACEMENT_3D('',#9707,#8480,#8481); -#7832=AXIS2_PLACEMENT_3D('',#9708,#8482,#8483); -#7833=AXIS2_PLACEMENT_3D('',#9714,#8487,#8488); -#7834=AXIS2_PLACEMENT_3D('',#9718,#8491,#8492); -#7835=AXIS2_PLACEMENT_3D('',#9722,#8495,#8496); -#7836=AXIS2_PLACEMENT_3D('',#9724,#8498,#8499); -#7837=AXIS2_PLACEMENT_3D('',#9728,#8502,#8503); -#7838=AXIS2_PLACEMENT_3D('',#9732,#8506,#8507); -#7839=AXIS2_PLACEMENT_3D('',#9734,#8509,#8510); -#7840=AXIS2_PLACEMENT_3D('',#9735,#8511,#8512); -#7841=AXIS2_PLACEMENT_3D('',#9741,#8516,#8517); -#7842=AXIS2_PLACEMENT_3D('',#9745,#8520,#8521); -#7843=AXIS2_PLACEMENT_3D('',#9749,#8524,#8525); -#7844=AXIS2_PLACEMENT_3D('',#9753,#8528,#8529); -#7845=AXIS2_PLACEMENT_3D('',#9757,#8532,#8533); -#7846=AXIS2_PLACEMENT_3D('',#9759,#8535,#8536); -#7847=AXIS2_PLACEMENT_3D('',#9761,#8538,#8539); -#7848=AXIS2_PLACEMENT_3D('',#9762,#8540,#8541); -#7849=AXIS2_PLACEMENT_3D('',#9768,#8545,#8546); -#7850=AXIS2_PLACEMENT_3D('',#9777,#8552,#8553); -#7851=AXIS2_PLACEMENT_3D('',#9781,#8556,#8557); -#7852=AXIS2_PLACEMENT_3D('',#9788,#8562,#8563); -#7853=AXIS2_PLACEMENT_3D('',#9789,#8564,#8565); -#7854=AXIS2_PLACEMENT_3D('',#9792,#8567,#8568); -#7855=AXIS2_PLACEMENT_3D('',#9793,#8569,#8570); -#7856=AXIS2_PLACEMENT_3D('',#9794,#8571,#8572); -#7857=AXIS2_PLACEMENT_3D('',#9797,#8574,#8575); -#7858=AXIS2_PLACEMENT_3D('',#9799,#8577,#8578); -#7859=AXIS2_PLACEMENT_3D('',#9800,#8579,#8580); -#7860=AXIS2_PLACEMENT_3D('',#9803,#8582,#8583); -#7861=AXIS2_PLACEMENT_3D('',#9806,#8585,#8586); -#7862=AXIS2_PLACEMENT_3D('',#9807,#8587,#8588); -#7863=AXIS2_PLACEMENT_3D('',#9809,#8590,#8591); -#7864=AXIS2_PLACEMENT_3D('',#9810,#8592,#8593); -#7865=AXIS2_PLACEMENT_3D('',#9901,#8596,#8597); -#7866=AXIS2_PLACEMENT_3D('',#9954,#8600,#8601); -#7867=AXIS2_PLACEMENT_3D('',#9969,#8604,#8605); -#7868=AXIS2_PLACEMENT_3D('',#10025,#8607,#8608); -#7869=AXIS2_PLACEMENT_3D('',#10034,#8609,#8610); -#7870=AXIS2_PLACEMENT_3D('',#10035,#8611,#8612); -#7871=AXIS2_PLACEMENT_3D('',#10086,#8615,#8616); -#7872=AXIS2_PLACEMENT_3D('',#10143,#8619,#8620); -#7873=AXIS2_PLACEMENT_3D('',#10152,#8621,#8622); -#7874=AXIS2_PLACEMENT_3D('',#10153,#8623,#8624); -#7875=AXIS2_PLACEMENT_3D('',#10244,#8627,#8628); -#7876=AXIS2_PLACEMENT_3D('',#10297,#8631,#8632); -#7877=AXIS2_PLACEMENT_3D('',#10312,#8635,#8636); -#7878=AXIS2_PLACEMENT_3D('',#10366,#8638,#8639); -#7879=AXIS2_PLACEMENT_3D('',#10375,#8640,#8641); -#7880=AXIS2_PLACEMENT_3D('',#10376,#8642,#8643); -#7881=AXIS2_PLACEMENT_3D('',#10557,#8644,#8645); -#7882=AXIS2_PLACEMENT_3D('',#10558,#8646,#8647); -#7883=AXIS2_PLACEMENT_3D('',#10649,#8650,#8651); -#7884=AXIS2_PLACEMENT_3D('',#10702,#8654,#8655); -#7885=AXIS2_PLACEMENT_3D('',#10717,#8658,#8659); -#7886=AXIS2_PLACEMENT_3D('',#10773,#8661,#8662); -#7887=AXIS2_PLACEMENT_3D('',#10782,#8663,#8664); -#7888=AXIS2_PLACEMENT_3D('',#10783,#8665,#8666); -#7889=AXIS2_PLACEMENT_3D('',#10784,#8667,#8668); -#7890=AXIS2_PLACEMENT_3D('',#10895,#8673,#8674); -#7891=AXIS2_PLACEMENT_3D('',#11010,#8677,#8678); -#7892=AXIS2_PLACEMENT_3D('',#11011,#8679,#8680); -#7893=AXIS2_PLACEMENT_3D('',#11012,#8681,#8682); -#7894=AXIS2_PLACEMENT_3D('',#11023,#8685,#8686); -#7895=AXIS2_PLACEMENT_3D('',#11031,#8688,#8689); -#7896=AXIS2_PLACEMENT_3D('',#11039,#8691,#8692); -#7897=AXIS2_PLACEMENT_3D('',#11044,#8693,#8694); -#7898=AXIS2_PLACEMENT_3D('',#11045,#8695,#8696); -#7899=AXIS2_PLACEMENT_3D('',#11046,#8697,#8698); -#7900=AXIS2_PLACEMENT_3D('',#11057,#8701,#8702); -#7901=AXIS2_PLACEMENT_3D('',#11065,#8704,#8705); -#7902=AXIS2_PLACEMENT_3D('',#11073,#8707,#8708); -#7903=AXIS2_PLACEMENT_3D('',#11078,#8709,#8710); -#7904=AXIS2_PLACEMENT_3D('',#11079,#8711,#8712); -#7905=AXIS2_PLACEMENT_3D('',#11124,#8715,#8716); -#7906=AXIS2_PLACEMENT_3D('',#11177,#8719,#8720); -#7907=AXIS2_PLACEMENT_3D('',#11189,#8722,#8723); -#7908=AXIS2_PLACEMENT_3D('',#11201,#8725,#8726); -#7909=AXIS2_PLACEMENT_3D('',#11213,#8728,#8729); -#7910=AXIS2_PLACEMENT_3D('',#11225,#8731,#8732); -#7911=AXIS2_PLACEMENT_3D('',#11234,#8733,#8734); -#7912=AXIS2_PLACEMENT_3D('',#11235,#8735,#8736); -#7913=AXIS2_PLACEMENT_3D('',#11422,#8737,#8738); -#7914=AXIS2_PLACEMENT_3D('',#11423,#8739,#8740); -#7915=AXIS2_PLACEMENT_3D('',#11424,#8741,#8742); -#7916=AXIS2_PLACEMENT_3D('',#11474,#8746,#8747); -#7917=AXIS2_PLACEMENT_3D('',#11533,#8750,#8751); -#7918=AXIS2_PLACEMENT_3D('',#11542,#8752,#8753); -#7919=AXIS2_PLACEMENT_3D('',#11543,#8754,#8755); -#7920=AXIS2_PLACEMENT_3D('',#11592,#8758,#8759); -#7921=AXIS2_PLACEMENT_3D('',#11651,#8762,#8763); -#7922=AXIS2_PLACEMENT_3D('',#11663,#8765,#8766); -#7923=AXIS2_PLACEMENT_3D('',#11675,#8768,#8769); -#7924=AXIS2_PLACEMENT_3D('',#11684,#8770,#8771); -#7925=AXIS2_PLACEMENT_3D('',#11685,#8772,#8773); -#7926=AXIS2_PLACEMENT_3D('',#11686,#8774,#8775); -#7927=AXIS2_PLACEMENT_3D('',#11697,#8778,#8779); -#7928=AXIS2_PLACEMENT_3D('',#11705,#8781,#8782); -#7929=AXIS2_PLACEMENT_3D('',#11713,#8784,#8785); -#7930=AXIS2_PLACEMENT_3D('',#11721,#8787,#8788); -#7931=AXIS2_PLACEMENT_3D('',#11729,#8790,#8791); -#7932=AXIS2_PLACEMENT_3D('',#11737,#8793,#8794); -#7933=AXIS2_PLACEMENT_3D('',#11745,#8796,#8797); -#7934=AXIS2_PLACEMENT_3D('',#11753,#8799,#8800); -#7935=AXIS2_PLACEMENT_3D('',#11761,#8802,#8803); -#7936=AXIS2_PLACEMENT_3D('',#11769,#8805,#8806); -#7937=AXIS2_PLACEMENT_3D('',#11777,#8808,#8809); -#7938=AXIS2_PLACEMENT_3D('',#11785,#8811,#8812); -#7939=AXIS2_PLACEMENT_3D('',#11790,#8813,#8814); -#7940=AXIS2_PLACEMENT_3D('',#11791,#8815,#8816); -#7941=AXIS2_PLACEMENT_3D('',#11792,#8817,#8818); -#7942=AXIS2_PLACEMENT_3D('',#11901,#8823,#8824); -#7943=AXIS2_PLACEMENT_3D('',#11982,#8827,#8828); -#7944=AXIS2_PLACEMENT_3D('',#11983,#8829,#8830); -#7945=AXIS2_PLACEMENT_3D('',#12028,#8833,#8834); -#7946=AXIS2_PLACEMENT_3D('',#12081,#8837,#8838); -#7947=AXIS2_PLACEMENT_3D('',#12093,#8840,#8841); -#7948=AXIS2_PLACEMENT_3D('',#12105,#8843,#8844); -#7949=AXIS2_PLACEMENT_3D('',#12117,#8846,#8847); -#7950=AXIS2_PLACEMENT_3D('',#12129,#8849,#8850); -#7951=AXIS2_PLACEMENT_3D('',#12138,#8851,#8852); -#7952=AXIS2_PLACEMENT_3D('',#12139,#8853,#8854); -#7953=AXIS2_PLACEMENT_3D('',#12190,#8857,#8858); -#7954=AXIS2_PLACEMENT_3D('',#12247,#8861,#8862); -#7955=AXIS2_PLACEMENT_3D('',#12256,#8863,#8864); -#7956=AXIS2_PLACEMENT_3D('',#12257,#8865,#8866); -#7957=AXIS2_PLACEMENT_3D('',#12258,#8867,#8868); -#7958=AXIS2_PLACEMENT_3D('',#12269,#8871,#8872); -#7959=AXIS2_PLACEMENT_3D('',#12277,#8874,#8875); -#7960=AXIS2_PLACEMENT_3D('',#12285,#8877,#8878); -#7961=AXIS2_PLACEMENT_3D('',#12293,#8880,#8881); -#7962=AXIS2_PLACEMENT_3D('',#12301,#8883,#8884); -#7963=AXIS2_PLACEMENT_3D('',#12306,#8885,#8886); -#7964=AXIS2_PLACEMENT_3D('',#12307,#8887,#8888); -#7965=AXIS2_PLACEMENT_3D('',#12308,#8889,#8890); -#7966=AXIS2_PLACEMENT_3D('',#12358,#8894,#8895); -#7967=AXIS2_PLACEMENT_3D('',#12370,#8897,#8898); -#7968=AXIS2_PLACEMENT_3D('',#12382,#8900,#8901); -#7969=AXIS2_PLACEMENT_3D('',#12394,#8903,#8904); -#7970=AXIS2_PLACEMENT_3D('',#12406,#8906,#8907); -#7971=AXIS2_PLACEMENT_3D('',#12418,#8909,#8910); -#7972=AXIS2_PLACEMENT_3D('',#12430,#8912,#8913); -#7973=AXIS2_PLACEMENT_3D('',#12442,#8915,#8916); -#7974=AXIS2_PLACEMENT_3D('',#12454,#8918,#8919); -#7975=AXIS2_PLACEMENT_3D('',#12505,#8922,#8923); -#7976=AXIS2_PLACEMENT_3D('',#12514,#8924,#8925); -#7977=AXIS2_PLACEMENT_3D('',#12515,#8926,#8927); -#7978=AXIS2_PLACEMENT_3D('',#12516,#8928,#8929); -#7979=AXIS2_PLACEMENT_3D('',#12564,#8933,#8934); -#7980=AXIS2_PLACEMENT_3D('',#12576,#8936,#8937); -#7981=AXIS2_PLACEMENT_3D('',#12588,#8939,#8940); -#7982=AXIS2_PLACEMENT_3D('',#12600,#8942,#8943); -#7983=AXIS2_PLACEMENT_3D('',#12612,#8945,#8946); -#7984=AXIS2_PLACEMENT_3D('',#12624,#8948,#8949); -#7985=AXIS2_PLACEMENT_3D('',#12636,#8951,#8952); -#7986=AXIS2_PLACEMENT_3D('',#12648,#8954,#8955); -#7987=AXIS2_PLACEMENT_3D('',#12660,#8957,#8958); -#7988=AXIS2_PLACEMENT_3D('',#12711,#8961,#8962); -#7989=AXIS2_PLACEMENT_3D('',#12720,#8963,#8964); -#7990=AXIS2_PLACEMENT_3D('',#12721,#8965,#8966); -#7991=AXIS2_PLACEMENT_3D('',#12722,#8967,#8968); -#7992=AXIS2_PLACEMENT_3D('',#12737,#8971,#8972); -#7993=AXIS2_PLACEMENT_3D('',#12776,#8975,#8976); -#7994=AXIS2_PLACEMENT_3D('',#12788,#8978,#8979); -#7995=AXIS2_PLACEMENT_3D('',#12800,#8981,#8982); -#7996=AXIS2_PLACEMENT_3D('',#12846,#8984,#8985); -#7997=AXIS2_PLACEMENT_3D('',#12847,#8986,#8987); -#7998=AXIS2_PLACEMENT_3D('',#12848,#8988,#8989); -#7999=AXIS2_PLACEMENT_3D('',#12854,#8992,#8993); -#8000=AXIS2_PLACEMENT_3D('',#12855,#8994,#8995); -#8001=AXIS2_PLACEMENT_3D('',#12856,#8996,#8997); -#8002=AXIS2_PLACEMENT_3D('',#12869,#8998,#8999); -#8003=AXIS2_PLACEMENT_3D('',#12881,#9000,#9001); -#8004=AXIS2_PLACEMENT_3D('',#12898,#9002,#9003); -#8005=AXIS2_PLACEMENT_3D('',#12910,#9004,#9005); -#8006=AXIS2_PLACEMENT_3D('',#12937,#9006,#9007); -#8007=AXIS2_PLACEMENT_3D('',#12938,#9008,#9009); -#8008=AXIS2_PLACEMENT_3D('',#12942,#9012,#9013); -#8009=AXIS2_PLACEMENT_3D('',#12948,#9017,#9018); -#8010=AXIS2_PLACEMENT_3D('',#12954,#9022,#9023); -#8011=AXIS2_PLACEMENT_3D('',#12960,#9027,#9028); -#8012=AXIS2_PLACEMENT_3D('',#12966,#9032,#9033); -#8013=AXIS2_PLACEMENT_3D('',#12970,#9036,#9037); -#8014=AXIS2_PLACEMENT_3D('',#12971,#9038,#9039); -#8015=AXIS2_PLACEMENT_3D('',#12972,#9040,#9041); -#8016=AXIS2_PLACEMENT_3D('',#12973,#9042,#9043); -#8017=AXIS2_PLACEMENT_3D('',#12975,#9044,#9045); -#8018=AXIS2_PLACEMENT_3D('',#12979,#9048,#9049); -#8019=AXIS2_PLACEMENT_3D('',#12980,#9050,#9051); -#8020=AXIS2_PLACEMENT_3D('',#12982,#9052,#9053); -#8021=AXIS2_PLACEMENT_3D('',#12983,#9054,#9055); -#8022=AXIS2_PLACEMENT_3D('',#12994,#9062,#9063); -#8023=AXIS2_PLACEMENT_3D('',#13008,#9066,#9067); -#8024=AXIS2_PLACEMENT_3D('',#13022,#9070,#9071); -#8025=AXIS2_PLACEMENT_3D('',#13027,#9072,#9073); -#8026=AXIS2_PLACEMENT_3D('',#13041,#9076,#9077); -#8027=AXIS2_PLACEMENT_3D('',#13055,#9080,#9081); -#8028=AXIS2_PLACEMENT_3D('',#13060,#9082,#9083); -#8029=AXIS2_PLACEMENT_3D('',#13061,#9084,#9085); -#8030=AXIS2_PLACEMENT_3D('',#13062,#9086,#9087); -#8031=AXIS2_PLACEMENT_3D('',#13063,#9088,#9089); -#8032=AXIS2_PLACEMENT_3D('',#13113,#9090,#9091); -#8033=AXIS2_PLACEMENT_3D('',#13114,#9092,#9093); -#8034=AXIS2_PLACEMENT_3D('',#13115,#9094,#9095); -#8035=AXIS2_PLACEMENT_3D('',#13116,#9096,#9097); -#8036=AXIS2_PLACEMENT_3D('',#13117,#9098,#9099); -#8037=AXIS2_PLACEMENT_3D('',#13118,#9100,#9101); -#8038=AXIS2_PLACEMENT_3D('',#13119,#9102,#9103); -#8039=AXIS2_PLACEMENT_3D('',#13120,#9104,#9105); -#8040=AXIS2_PLACEMENT_3D('',#13170,#9106,#9107); -#8041=AXIS2_PLACEMENT_3D('',#13171,#9108,#9109); -#8042=AXIS2_PLACEMENT_3D('',#13172,#9110,#9111); -#8043=AXIS2_PLACEMENT_3D('',#13173,#9112,#9113); -#8044=AXIS2_PLACEMENT_3D('',#13174,#9114,#9115); -#8045=AXIS2_PLACEMENT_3D('',#13175,#9116,#9117); -#8046=AXIS2_PLACEMENT_3D('',#13186,#9120,#9121); -#8047=AXIS2_PLACEMENT_3D('',#13187,#9122,#9123); -#8048=AXIS2_PLACEMENT_3D('',#13282,#9126,#9127); -#8049=AXIS2_PLACEMENT_3D('',#13283,#9128,#9129); -#8050=AXIS2_PLACEMENT_3D('',#13284,#9130,#9131); -#8051=AXIS2_PLACEMENT_3D('',#13289,#9134,#9135); -#8052=AXIS2_PLACEMENT_3D('',#13298,#9138,#9139); -#8053=AXIS2_PLACEMENT_3D('',#13307,#9141,#9142); -#8054=AXIS2_PLACEMENT_3D('',#13310,#9144,#9145); -#8055=AXIS2_PLACEMENT_3D('',#13311,#9146,#9147); -#8056=AXIS2_PLACEMENT_3D('',#13316,#9150,#9151); -#8057=AXIS2_PLACEMENT_3D('',#13325,#9153,#9154); -#8058=AXIS2_PLACEMENT_3D('',#13355,#9156,#9157); -#8059=AXIS2_PLACEMENT_3D('',#13358,#9159,#9160); -#8060=AXIS2_PLACEMENT_3D('',#13422,#9162,#9163); -#8061=AXIS2_PLACEMENT_3D('',#13434,#9169,#9170); -#8062=AXIS2_PLACEMENT_3D('',#13446,#9176,#9177); -#8063=AXIS2_PLACEMENT_3D('',#13447,#9178,#9179); -#8064=AXIS2_PLACEMENT_3D('',#13453,#9181,#9182); -#8065=AXIS2_PLACEMENT_3D('',#13459,#9184,#9185); -#8066=AXIS2_PLACEMENT_3D('',#13465,#9187,#9188); -#8067=AXIS2_PLACEMENT_3D('',#13471,#9190,#9191); -#8068=AXIS2_PLACEMENT_3D('',#13473,#9193,#9194); -#8069=AXIS2_PLACEMENT_3D('',#13475,#9196,#9197); -#8070=AXIS2_PLACEMENT_3D('',#13477,#9199,#9200); -#8071=AXIS2_PLACEMENT_3D('',#13478,#9201,#9202); -#8072=AXIS2_PLACEMENT_3D('',#13480,#9204,#9205); -#8073=AXIS2_PLACEMENT_3D('',#13481,#9206,#9207); -#8074=AXIS2_PLACEMENT_3D('',#13482,#9208,#9209); -#8075=AXIS2_PLACEMENT_3D('',#13483,#9210,#9211); -#8076=AXIS2_PLACEMENT_3D('',#13484,#9212,#9213); -#8077=AXIS2_PLACEMENT_3D('',#13485,#9214,#9215); -#8078=AXIS2_PLACEMENT_3D('',#13486,#9216,#9217); -#8079=AXIS2_PLACEMENT_3D('',#13487,#9218,#9219); -#8080=AXIS2_PLACEMENT_3D('',#13488,#9220,#9221); -#8081=AXIS2_PLACEMENT_3D('',#13489,#9222,#9223); -#8082=AXIS2_PLACEMENT_3D('',#13490,#9224,#9225); -#8083=AXIS2_PLACEMENT_3D('',#13492,#9226,#9227); -#8084=AXIS2_PLACEMENT_3D('',#13494,#9228,#9229); -#8085=AXIS2_PLACEMENT_3D('',#13495,#9230,#9231); -#8086=AXIS2_PLACEMENT_3D('',#13496,#9232,#9233); -#8087=AXIS2_PLACEMENT_3D('',#13497,#9234,#9235); -#8088=AXIS2_PLACEMENT_3D('',#13498,#9236,#9237); -#8089=AXIS2_PLACEMENT_3D('',#13499,#9238,#9239); -#8090=DIRECTION('',(0.,0.,1.)); -#8091=DIRECTION('',(1.,0.,0.)); -#8092=DIRECTION('',(1.,0.,1.24567485119662E-16)); -#8093=DIRECTION('',(1.24567485119662E-16,0.,-1.)); -#8094=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8095=DIRECTION('',(-1.32871984127639E-16,0.,1.)); -#8096=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8097=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8098=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8099=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8100=DIRECTION('',(1.,0.,1.24567485119662E-16)); -#8101=DIRECTION('',(1.24567485119662E-16,0.,-1.)); -#8102=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8103=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8104=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8105=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8106=DIRECTION('',(-1.32871984127639E-16,0.,1.)); -#8107=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8108=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8109=DIRECTION('',(-1.32871984127639E-16,0.,1.)); -#8110=DIRECTION('',(0.,1.,0.)); -#8111=DIRECTION('',(0.,1.,0.)); -#8112=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8113=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8114=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8115=DIRECTION('',(0.,-1.,0.)); -#8116=DIRECTION('',(0.,-1.,0.)); -#8117=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8118=DIRECTION('',(-1.32871984127639E-16,0.,1.)); -#8119=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8120=DIRECTION('',(-1.,1.13826999736011E-15,-1.32871984127639E-16)); -#8121=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8122=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8123=DIRECTION('',(0.,-1.,0.)); -#8124=DIRECTION('',(0.,-1.,0.)); -#8125=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8126=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8127=DIRECTION('',(-1.32871984127639E-16,0.,1.)); -#8128=DIRECTION('',(0.,1.,0.)); -#8129=DIRECTION('',(0.,1.,0.)); -#8130=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8131=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8132=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8133=DIRECTION('',(-1.,1.13826999736011E-15,-1.32871984127639E-16)); -#8134=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8135=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8136=DIRECTION('',(0.,-1.,0.)); -#8137=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8138=DIRECTION('',(0.,-1.,0.)); -#8139=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8140=DIRECTION('',(0.,-1.,0.)); -#8141=DIRECTION('',(0.,0.,-1.)); -#8142=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8143=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8144=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8145=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8146=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8147=DIRECTION('',(-1.32871984127639E-16,0.,1.)); -#8148=DIRECTION('',(0.,1.,0.)); -#8149=DIRECTION('',(0.,1.,0.)); -#8150=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8151=DIRECTION('',(0.,1.,0.)); -#8152=DIRECTION('',(0.,0.,1.)); -#8153=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8154=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8155=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8156=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8157=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8158=DIRECTION('',(-1.24567485119662E-16,0.,1.)); -#8159=DIRECTION('',(1.,0.,1.24567485119662E-16)); -#8160=DIRECTION('',(-1.,-2.65743968255279E-16,-1.24567485119662E-16)); -#8161=DIRECTION('',(0.,-1.,0.)); -#8162=DIRECTION('',(-1.,-2.65743968255279E-16,-1.24567485119662E-16)); -#8163=DIRECTION('',(0.,-1.,0.)); -#8164=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8165=DIRECTION('',(0.,-1.,0.)); -#8166=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8167=DIRECTION('',(0.,-1.,0.)); -#8168=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8169=DIRECTION('',(0.,1.,0.)); -#8170=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8171=DIRECTION('',(0.,-1.,0.)); -#8172=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8173=DIRECTION('',(0.,1.,0.)); -#8174=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8175=DIRECTION('',(0.,1.,0.)); -#8176=DIRECTION('',(1.,0.,0.)); -#8177=DIRECTION('',(0.,0.,-1.)); -#8178=DIRECTION('',(0.,-1.,0.)); -#8179=DIRECTION('',(0.,0.,-1.)); -#8180=DIRECTION('',(0.,-1.,0.)); -#8181=DIRECTION('',(0.,0.,-1.)); -#8182=DIRECTION('',(1.,0.,0.)); -#8183=DIRECTION('',(0.,0.,-1.)); -#8184=DIRECTION('',(0.,-1.,0.)); -#8185=DIRECTION('',(1.,0.,0.)); -#8186=DIRECTION('',(0.,0.,-1.)); -#8187=DIRECTION('',(0.,0.,-1.)); -#8188=DIRECTION('',(0.,-1.,0.)); -#8189=DIRECTION('',(0.,0.,-1.)); -#8190=DIRECTION('',(0.,-1.,0.)); -#8191=DIRECTION('',(0.,0.,-1.)); -#8192=DIRECTION('',(0.,-1.,0.)); -#8193=DIRECTION('',(0.,0.,-1.)); -#8194=DIRECTION('',(0.,-1.,0.)); -#8195=DIRECTION('',(0.,0.,-1.)); -#8196=DIRECTION('',(0.,0.,1.)); -#8197=DIRECTION('',(-1.,0.,0.)); -#8198=DIRECTION('',(0.,0.,-1.)); -#8199=DIRECTION('',(-1.,0.,0.)); -#8200=DIRECTION('',(0.,1.,0.)); -#8201=DIRECTION('',(0.,0.,1.)); -#8202=DIRECTION('',(0.,0.,1.)); -#8203=DIRECTION('',(1.,0.,0.)); -#8204=DIRECTION('',(0.,0.,-1.)); -#8205=DIRECTION('',(1.,0.,0.)); -#8206=DIRECTION('',(0.,1.,0.)); -#8207=DIRECTION('',(0.,0.,1.)); -#8208=DIRECTION('',(0.,0.,1.)); -#8209=DIRECTION('',(1.,0.,0.)); -#8210=DIRECTION('',(1.,0.,0.)); -#8211=DIRECTION('',(0.,0.,-1.)); -#8212=DIRECTION('',(-1.,0.,0.)); -#8213=DIRECTION('',(-1.,0.,0.)); -#8214=DIRECTION('',(1.,0.,0.)); -#8215=DIRECTION('',(0.,-1.,0.)); -#8216=DIRECTION('',(1.,0.,0.)); -#8217=DIRECTION('',(0.,1.,0.)); -#8218=DIRECTION('',(-1.,-1.95131999547447E-15,0.)); -#8219=DIRECTION('',(0.,-1.,0.)); -#8220=DIRECTION('',(-1.,0.,0.)); -#8221=DIRECTION('',(-1.,0.,0.)); -#8222=DIRECTION('',(0.,-1.,0.)); -#8223=DIRECTION('',(1.,2.65743968255279E-16,0.)); -#8224=DIRECTION('',(0.,1.,0.)); -#8225=DIRECTION('',(0.,-1.,0.)); -#8226=DIRECTION('',(1.,2.65743968255279E-16,0.)); -#8227=DIRECTION('',(0.,1.,0.)); -#8228=DIRECTION('',(-1.,0.,0.)); -#8229=DIRECTION('',(0.,1.,0.)); -#8230=DIRECTION('',(-1.,9.75659997737236E-16,0.)); -#8231=DIRECTION('',(0.,-1.,0.)); -#8232=DIRECTION('',(-1.,-3.90263999094894E-15,0.)); -#8233=DIRECTION('',(0.,1.,0.)); -#8234=DIRECTION('',(1.,-3.90263999094894E-15,0.)); -#8235=DIRECTION('',(0.,-1.,0.)); -#8236=DIRECTION('',(1.,9.75659997737236E-16,0.)); -#8237=DIRECTION('',(0.,1.,0.)); -#8238=DIRECTION('',(-1.,0.,0.)); -#8239=DIRECTION('',(0.,-1.,0.)); -#8240=DIRECTION('',(-1.,0.,0.)); -#8241=DIRECTION('',(0.,1.,0.)); -#8242=DIRECTION('',(1.,-3.90263999094894E-15,0.)); -#8243=DIRECTION('',(0.,-1.,0.)); -#8244=DIRECTION('',(1.,0.,0.)); -#8245=DIRECTION('',(0.,1.,0.)); -#8246=DIRECTION('',(1.,0.,0.)); -#8247=DIRECTION('',(0.,-1.,0.)); -#8248=DIRECTION('',(1.,2.65743968255279E-16,0.)); -#8249=DIRECTION('',(0.,1.,0.)); -#8250=DIRECTION('',(0.,-1.,0.)); -#8251=DIRECTION('',(1.,2.65743968255279E-16,0.)); -#8252=DIRECTION('',(0.,1.,0.)); -#8253=DIRECTION('',(1.,0.,0.)); -#8254=DIRECTION('',(0.,-1.,0.)); -#8255=DIRECTION('',(1.,0.,0.)); -#8256=DIRECTION('',(0.,1.,0.)); -#8257=DIRECTION('',(-1.,-1.95131999547447E-15,0.)); -#8258=DIRECTION('',(0.,-1.,0.)); -#8259=DIRECTION('',(0.,1.,0.)); -#8260=DIRECTION('',(-1.,1.98535464655833E-16,0.)); -#8261=DIRECTION('',(0.,-1.,0.)); -#8262=DIRECTION('',(1.,0.,0.)); -#8263=DIRECTION('',(0.,1.,0.)); -#8264=DIRECTION('',(-1.,1.98535464655833E-16,0.)); -#8265=DIRECTION('',(0.,-1.,0.)); -#8266=DIRECTION('',(1.,0.,0.)); -#8267=DIRECTION('',(-1.24567485119662E-16,0.,1.)); -#8268=DIRECTION('',(1.,0.,1.24567485119662E-16)); -#8269=DIRECTION('',(-1.,-2.65743968255279E-16,-1.24567485119662E-16)); -#8270=DIRECTION('',(0.,-1.,0.)); -#8271=DIRECTION('',(-1.,-2.65743968255279E-16,-1.24567485119662E-16)); -#8272=DIRECTION('',(0.,-1.,0.)); -#8273=DIRECTION('',(0.,-1.,0.)); -#8274=DIRECTION('',(0.,0.,-1.)); -#8275=DIRECTION('',(-1.,0.,0.)); -#8276=DIRECTION('',(0.,0.,-1.)); -#8277=DIRECTION('',(0.,0.,-1.)); -#8278=DIRECTION('',(-1.,0.,0.)); -#8279=DIRECTION('',(0.,-1.,0.)); -#8280=DIRECTION('',(1.,0.,0.)); -#8281=DIRECTION('',(0.,1.,0.)); -#8282=DIRECTION('',(-1.,0.,0.)); -#8283=DIRECTION('',(0.,0.,-1.)); -#8284=DIRECTION('',(-1.,0.,0.)); -#8285=DIRECTION('',(1.,0.,0.)); -#8286=DIRECTION('',(0.,1.,0.)); -#8287=DIRECTION('',(-1.,0.,0.)); -#8288=DIRECTION('',(0.,-1.,0.)); -#8289=DIRECTION('',(0.,0.,-1.)); -#8290=DIRECTION('',(0.,0.,1.)); -#8291=DIRECTION('',(-1.,0.,0.)); -#8292=DIRECTION('',(0.,0.,-1.)); -#8293=DIRECTION('',(0.,1.,0.)); -#8294=DIRECTION('',(0.,0.,1.)); -#8295=DIRECTION('',(0.,0.,-1.)); -#8296=DIRECTION('',(1.,0.,0.)); -#8297=DIRECTION('',(0.,0.,-1.)); -#8298=DIRECTION('',(0.,0.,-1.)); -#8299=DIRECTION('',(-1.,0.,0.)); -#8300=DIRECTION('',(0.,-1.,0.)); -#8301=DIRECTION('',(1.,0.,0.)); -#8302=DIRECTION('',(0.,1.,0.)); -#8303=DIRECTION('',(-1.,0.,0.)); -#8304=DIRECTION('',(1.,0.,0.)); -#8305=DIRECTION('',(0.,0.,-1.)); -#8306=DIRECTION('',(1.76741234023297E-12,-1.,0.)); -#8307=DIRECTION('',(0.,0.,1.)); -#8308=DIRECTION('',(0.,0.,1.)); -#8309=DIRECTION('',(0.,-1.,0.)); -#8310=DIRECTION('',(0.,0.,-1.)); -#8311=DIRECTION('',(0.,0.,1.)); -#8312=DIRECTION('',(-0.306552466094431,0.,-0.951853762681759)); -#8313=DIRECTION('',(1.,0.,0.)); -#8314=DIRECTION('',(0.306552466094419,0.,-0.951853762681763)); -#8315=DIRECTION('',(1.98535464655833E-16,1.,0.)); -#8316=DIRECTION('',(-1.,1.98535464655833E-16,0.)); -#8317=DIRECTION('',(-1.,1.98535464655833E-16,0.)); -#8318=DIRECTION('',(-0.306552466094431,-5.41804611504147E-13,-0.951853762681759)); -#8319=DIRECTION('',(0.,0.,1.)); -#8320=DIRECTION('',(0.306552466094419,5.41804611504125E-13,-0.951853762681763)); -#8321=DIRECTION('',(0.,0.,-1.)); -#8322=DIRECTION('',(-1.,0.,0.)); -#8323=DIRECTION('',(1.76741234023297E-12,-1.,0.)); -#8324=DIRECTION('',(-1.76741234023297E-12,1.,0.)); -#8325=DIRECTION('',(-1.,0.,0.)); -#8326=DIRECTION('',(0.,0.,1.)); -#8327=DIRECTION('',(0.,1.,5.4878634893862E-12)); -#8328=DIRECTION('',(-0.951853762681763,-1.68231808626093E-12,-0.306552466094419)); -#8329=DIRECTION('',(-0.306552466094419,0.,0.951853762681763)); -#8330=DIRECTION('',(-0.951853762681759,-1.68231808626093E-12,0.306552466094431)); -#8331=DIRECTION('',(0.306552466094431,0.,0.951853762681759)); -#8332=DIRECTION('',(-1.,0.,0.)); -#8333=DIRECTION('',(0.,0.,1.)); -#8334=DIRECTION('',(0.,0.,-1.)); -#8335=DIRECTION('',(0.,-1.,0.)); -#8336=DIRECTION('',(0.,0.,-1.)); -#8337=DIRECTION('',(-1.,0.,0.)); -#8338=DIRECTION('',(0.,0.,1.)); -#8339=DIRECTION('',(-1.,0.,0.)); -#8340=DIRECTION('',(0.,0.,1.)); -#8341=DIRECTION('',(0.,0.,-1.)); -#8342=DIRECTION('',(0.,-1.,0.)); -#8343=DIRECTION('',(0.,0.,-1.)); -#8344=DIRECTION('',(-0.951853762681763,-1.68231808626093E-12,-0.306552466094419)); -#8345=DIRECTION('',(-0.306552466094419,0.,0.951853762681763)); -#8346=DIRECTION('',(0.306552466094419,0.,-0.951853762681763)); -#8347=DIRECTION('',(-1.76741234023297E-12,1.,0.)); -#8348=DIRECTION('',(0.306552466094419,5.41804611504125E-13,-0.951853762681763)); -#8349=DIRECTION('',(1.76741234023297E-12,-1.,0.)); -#8350=DIRECTION('',(-0.951853762681759,-1.68231808626093E-12,0.306552466094431)); -#8351=DIRECTION('',(0.306552466094431,0.,0.951853762681759)); -#8352=DIRECTION('',(0.,1.,5.4878634893862E-12)); -#8353=DIRECTION('',(-0.306552466094431,-5.41804611504147E-13,-0.951853762681759)); -#8354=DIRECTION('',(1.76741234023297E-12,-1.,0.)); -#8355=DIRECTION('',(-0.306552466094431,0.,-0.951853762681759)); -#8356=DIRECTION('',(-1.,0.,0.)); -#8357=DIRECTION('',(0.,0.,1.)); -#8358=DIRECTION('',(0.,0.,1.)); -#8359=DIRECTION('',(0.,0.,1.)); -#8360=DIRECTION('',(0.,0.,-1.)); -#8361=DIRECTION('',(-1.,0.,0.)); -#8362=DIRECTION('',(-1.,1.98535464655833E-16,0.)); -#8363=DIRECTION('',(1.,0.,0.)); -#8364=DIRECTION('',(1.98535464655833E-16,1.,0.)); -#8365=DIRECTION('',(-1.,1.98535464655833E-16,0.)); -#8366=DIRECTION('',(0.,0.,1.)); -#8367=DIRECTION('',(0.,-1.,0.)); -#8368=DIRECTION('',(0.,0.,-1.)); -#8369=DIRECTION('',(0.,0.,1.)); -#8370=DIRECTION('',(1.,0.,0.)); -#8371=DIRECTION('',(0.,0.,-1.)); -#8372=DIRECTION('',(1.,0.,0.)); -#8373=DIRECTION('',(0.,0.,-1.)); -#8374=DIRECTION('',(1.,0.,0.)); -#8375=DIRECTION('',(0.,0.,-1.)); -#8376=DIRECTION('',(1.,0.,0.)); -#8377=DIRECTION('',(0.,0.,-1.)); -#8378=DIRECTION('',(0.,0.,1.)); -#8379=DIRECTION('',(0.,0.,1.)); -#8380=DIRECTION('',(-1.,0.,0.)); -#8381=DIRECTION('',(0.,0.,1.)); -#8382=DIRECTION('',(-1.,0.,0.)); -#8383=DIRECTION('',(0.,0.,1.)); -#8384=DIRECTION('',(0.,0.,1.)); -#8385=DIRECTION('',(0.,0.,1.)); -#8386=DIRECTION('',(-1.,0.,0.)); -#8387=DIRECTION('',(0.,0.,1.)); -#8388=DIRECTION('',(-2.65743968255279E-16,1.,0.)); -#8389=DIRECTION('',(-1.,-2.65743968255279E-16,0.)); -#8390=DIRECTION('',(-2.65743968255279E-16,1.,0.)); -#8391=DIRECTION('',(-1.,-2.65743968255279E-16,0.)); -#8392=DIRECTION('',(1.,0.,0.)); -#8393=DIRECTION('',(0.,0.,-1.)); -#8394=DIRECTION('',(-1.,0.,0.)); -#8395=DIRECTION('',(0.,0.,1.)); -#8396=DIRECTION('',(1.,0.,0.)); -#8397=DIRECTION('',(0.,0.,-1.)); -#8398=DIRECTION('',(1.,0.,0.)); -#8399=DIRECTION('',(0.,0.,-1.)); -#8400=DIRECTION('',(1.,0.,0.)); -#8401=DIRECTION('',(0.,0.,-1.)); -#8402=DIRECTION('',(0.,0.,1.)); -#8403=DIRECTION('',(0.,0.,1.)); -#8404=DIRECTION('',(-1.,0.,0.)); -#8405=DIRECTION('',(0.,0.,1.)); -#8406=DIRECTION('',(-1.,0.,0.)); -#8407=DIRECTION('',(0.,0.,1.)); -#8408=DIRECTION('',(0.,0.,1.)); -#8409=DIRECTION('',(0.,0.,1.)); -#8410=DIRECTION('',(-1.,0.,0.)); -#8411=DIRECTION('',(0.,0.,1.)); -#8412=DIRECTION('',(-2.65743968255279E-16,1.,0.)); -#8413=DIRECTION('',(-1.,-2.65743968255279E-16,0.)); -#8414=DIRECTION('',(-2.65743968255279E-16,1.,0.)); -#8415=DIRECTION('',(-1.,-2.65743968255279E-16,0.)); -#8416=DIRECTION('',(1.,0.,0.)); -#8417=DIRECTION('',(0.,0.,-1.)); -#8418=DIRECTION('',(-1.,0.,0.)); -#8419=DIRECTION('',(0.,0.,1.)); -#8420=DIRECTION('',(1.,0.,0.)); -#8421=DIRECTION('',(0.,0.,-1.)); -#8422=DIRECTION('',(-1.,0.,0.)); -#8423=DIRECTION('',(0.,0.,1.)); -#8424=DIRECTION('',(-1.,0.,0.)); -#8425=DIRECTION('',(0.,0.,1.)); -#8426=DIRECTION('',(0.,0.,-1.)); -#8427=DIRECTION('',(0.,1.,0.)); -#8428=DIRECTION('',(0.,0.,-1.)); -#8429=DIRECTION('',(0.,1.,0.)); -#8430=DIRECTION('',(0.,0.,1.)); -#8431=DIRECTION('',(0.,0.,-1.)); -#8432=DIRECTION('',(1.,0.,0.)); -#8433=DIRECTION('',(1.,0.,0.)); -#8434=DIRECTION('',(0.,0.,-1.)); -#8435=DIRECTION('',(0.,0.,-1.)); -#8436=DIRECTION('',(0.,-1.,0.)); -#8437=DIRECTION('',(0.,1.,0.)); -#8438=DIRECTION('',(0.,0.,1.)); -#8439=DIRECTION('',(1.,0.,0.)); -#8440=DIRECTION('',(0.,-1.,0.)); -#8441=DIRECTION('',(0.,0.,-1.)); -#8442=DIRECTION('',(0.,0.,-1.)); -#8443=DIRECTION('',(-1.,0.,0.)); -#8444=DIRECTION('',(1.,0.,0.)); -#8445=DIRECTION('',(0.,0.,-1.)); -#8446=DIRECTION('',(0.,0.,-1.)); -#8447=DIRECTION('',(0.,-1.,0.)); -#8448=DIRECTION('',(1.95131999547447E-15,-1.,0.)); -#8449=DIRECTION('',(1.,1.95131999547447E-15,0.)); -#8450=DIRECTION('',(-1.,-1.95131999547447E-15,0.)); -#8451=DIRECTION('',(0.,0.,-1.)); -#8452=DIRECTION('',(-1.,0.,0.)); -#8453=DIRECTION('',(-1.,0.,0.)); -#8454=DIRECTION('',(0.,0.,1.)); -#8455=DIRECTION('',(0.,0.,-1.)); -#8456=DIRECTION('',(0.,1.,0.)); -#8457=DIRECTION('',(0.,0.,-1.)); -#8458=DIRECTION('',(0.,1.,0.)); -#8459=DIRECTION('',(0.,0.,1.)); -#8460=DIRECTION('',(0.,0.,-1.)); -#8461=DIRECTION('',(1.,0.,0.)); -#8462=DIRECTION('',(1.,0.,0.)); -#8463=DIRECTION('',(0.,0.,-1.)); -#8464=DIRECTION('',(0.,0.,-1.)); -#8465=DIRECTION('',(0.,-1.,0.)); -#8466=DIRECTION('',(0.,1.,0.)); -#8467=DIRECTION('',(0.,0.,1.)); -#8468=DIRECTION('',(1.,0.,0.)); -#8469=DIRECTION('',(0.,-1.,0.)); -#8470=DIRECTION('',(0.,0.,-1.)); -#8471=DIRECTION('',(0.,0.,-1.)); -#8472=DIRECTION('',(-1.,0.,0.)); -#8473=DIRECTION('',(1.,0.,0.)); -#8474=DIRECTION('',(0.,0.,-1.)); -#8475=DIRECTION('',(0.,0.,-1.)); -#8476=DIRECTION('',(0.,-1.,0.)); -#8477=DIRECTION('',(1.95131999547447E-15,-1.,0.)); -#8478=DIRECTION('',(1.,1.95131999547447E-15,0.)); -#8479=DIRECTION('',(-1.,-1.95131999547447E-15,0.)); -#8480=DIRECTION('',(0.,0.,-1.)); -#8481=DIRECTION('',(-1.,0.,0.)); -#8482=DIRECTION('',(-1.,0.,0.)); -#8483=DIRECTION('',(0.,0.,1.)); -#8484=DIRECTION('',(0.,0.,-1.)); -#8485=DIRECTION('',(0.,1.,0.)); -#8486=DIRECTION('',(0.,0.,-1.)); -#8487=DIRECTION('',(3.90263999094894E-15,1.,0.)); -#8488=DIRECTION('',(-1.,3.90263999094894E-15,0.)); -#8489=DIRECTION('',(1.,-3.90263999094894E-15,0.)); -#8490=DIRECTION('',(0.,0.,-1.)); -#8491=DIRECTION('',(1.,0.,0.)); -#8492=DIRECTION('',(0.,0.,-1.)); -#8493=DIRECTION('',(0.,-1.,0.)); -#8494=DIRECTION('',(0.,0.,-1.)); -#8495=DIRECTION('',(0.,1.,0.)); -#8496=DIRECTION('',(0.,0.,1.)); -#8497=DIRECTION('',(1.,0.,0.)); -#8498=DIRECTION('',(0.,-1.,0.)); -#8499=DIRECTION('',(0.,0.,-1.)); -#8500=DIRECTION('',(-1.,0.,0.)); -#8501=DIRECTION('',(0.,0.,-1.)); -#8502=DIRECTION('',(1.,0.,0.)); -#8503=DIRECTION('',(0.,0.,-1.)); -#8504=DIRECTION('',(0.,-1.,0.)); -#8505=DIRECTION('',(0.,0.,-1.)); -#8506=DIRECTION('',(0.,-1.,0.)); -#8507=DIRECTION('',(0.,0.,-1.)); -#8508=DIRECTION('',(-1.,0.,0.)); -#8509=DIRECTION('',(0.,0.,-1.)); -#8510=DIRECTION('',(-1.,0.,0.)); -#8511=DIRECTION('',(1.,0.,0.)); -#8512=DIRECTION('',(0.,0.,-1.)); -#8513=DIRECTION('',(0.,0.,-1.)); -#8514=DIRECTION('',(0.,-1.,0.)); -#8515=DIRECTION('',(0.,0.,-1.)); -#8516=DIRECTION('',(3.90263999094894E-15,-1.,0.)); -#8517=DIRECTION('',(1.,3.90263999094894E-15,0.)); -#8518=DIRECTION('',(-1.,-3.90263999094894E-15,0.)); -#8519=DIRECTION('',(0.,0.,-1.)); -#8520=DIRECTION('',(-1.,0.,0.)); -#8521=DIRECTION('',(0.,0.,1.)); -#8522=DIRECTION('',(0.,1.,0.)); -#8523=DIRECTION('',(0.,0.,-1.)); -#8524=DIRECTION('',(3.90263999094894E-15,1.,0.)); -#8525=DIRECTION('',(-1.,3.90263999094894E-15,0.)); -#8526=DIRECTION('',(1.,-3.90263999094894E-15,0.)); -#8527=DIRECTION('',(0.,0.,-1.)); -#8528=DIRECTION('',(1.,0.,0.)); -#8529=DIRECTION('',(0.,0.,-1.)); -#8530=DIRECTION('',(0.,-1.,0.)); -#8531=DIRECTION('',(0.,0.,-1.)); -#8532=DIRECTION('',(-9.75659997737236E-16,1.,0.)); -#8533=DIRECTION('',(-1.,-9.75659997737236E-16,0.)); -#8534=DIRECTION('',(1.,9.75659997737236E-16,0.)); -#8535=DIRECTION('',(-9.75659997737236E-16,-1.,0.)); -#8536=DIRECTION('',(1.,-9.75659997737236E-16,0.)); -#8537=DIRECTION('',(-1.,9.75659997737236E-16,0.)); -#8538=DIRECTION('',(0.,0.,-1.)); -#8539=DIRECTION('',(-1.,0.,0.)); -#8540=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8541=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8542=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8543=DIRECTION('',(0.,-1.,0.)); -#8544=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8545=DIRECTION('',(0.,-1.,0.)); -#8546=DIRECTION('',(0.,0.,-1.)); -#8547=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8548=DIRECTION('',(-1.,1.13826999736011E-15,-1.32871984127639E-16)); -#8549=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8550=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8551=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8552=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8553=DIRECTION('',(-1.32871984127639E-16,0.,1.)); -#8554=DIRECTION('',(0.,1.,0.)); -#8555=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8556=DIRECTION('',(0.,1.,0.)); -#8557=DIRECTION('',(0.,0.,1.)); -#8558=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8559=DIRECTION('',(-1.,1.13826999736011E-15,-1.32871984127639E-16)); -#8560=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8561=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8562=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8563=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8564=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8565=DIRECTION('',(-1.32871984127639E-16,0.,1.)); -#8566=DIRECTION('',(0.,1.,0.)); -#8567=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8568=DIRECTION('',(-1.32871984127639E-16,0.,1.)); -#8569=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8570=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8571=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8572=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8573=DIRECTION('',(0.,-1.,0.)); -#8574=DIRECTION('',(-1.32871984127639E-16,0.,1.)); -#8575=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8576=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8577=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8578=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8579=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8580=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8581=DIRECTION('',(0.,-1.,0.)); -#8582=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8583=DIRECTION('',(-1.32871984127639E-16,0.,1.)); -#8584=DIRECTION('',(0.,1.,0.)); -#8585=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8586=DIRECTION('',(-1.32871984127639E-16,0.,1.)); -#8587=DIRECTION('',(1.32871984127639E-16,0.,-1.)); -#8588=DIRECTION('',(-1.,0.,-1.32871984127639E-16)); -#8589=DIRECTION('',(1.,0.,1.32871984127639E-16)); -#8590=DIRECTION('',(1.,0.,1.24567485119662E-16)); -#8591=DIRECTION('',(1.24567485119662E-16,0.,-1.)); -#8592=DIRECTION('',(1.,0.,1.24567485119662E-16)); -#8593=DIRECTION('',(1.24567485119662E-16,0.,-1.)); -#8594=DIRECTION('',(0.,0.,-1.)); -#8595=DIRECTION('',(0.,0.,-1.)); -#8596=DIRECTION('',(0.,1.,0.)); -#8597=DIRECTION('',(0.,0.,1.)); -#8598=DIRECTION('',(0.,0.,-1.)); -#8599=DIRECTION('',(0.,0.,-1.)); -#8600=DIRECTION('',(0.,-1.,0.)); -#8601=DIRECTION('',(0.,0.,-1.)); -#8602=DIRECTION('',(0.,0.,-1.)); -#8603=DIRECTION('',(0.,0.,-1.)); -#8604=DIRECTION('',(-1.,0.,0.)); -#8605=DIRECTION('',(0.,0.,1.)); -#8606=DIRECTION('',(0.,0.,-1.)); -#8607=DIRECTION('',(1.,0.,0.)); -#8608=DIRECTION('',(0.,0.,-1.)); -#8609=DIRECTION('',(0.,0.,-1.)); -#8610=DIRECTION('',(-1.,0.,0.)); -#8611=DIRECTION('',(0.,0.,-1.)); -#8612=DIRECTION('',(-1.,0.,0.)); -#8613=DIRECTION('',(0.,0.,-1.)); -#8614=DIRECTION('',(0.,0.,-1.)); -#8615=DIRECTION('',(1.,0.,0.)); -#8616=DIRECTION('',(0.,0.,-1.)); -#8617=DIRECTION('',(0.,0.,-1.)); -#8618=DIRECTION('',(0.,0.,-1.)); -#8619=DIRECTION('',(0.,-1.,0.)); -#8620=DIRECTION('',(0.,0.,-1.)); -#8621=DIRECTION('',(0.,0.,1.)); -#8622=DIRECTION('',(1.,0.,0.)); -#8623=DIRECTION('',(0.,0.,1.)); -#8624=DIRECTION('',(1.,0.,0.)); -#8625=DIRECTION('',(0.,0.,-1.)); -#8626=DIRECTION('',(0.,0.,-1.)); -#8627=DIRECTION('',(0.,1.,0.)); -#8628=DIRECTION('',(0.,0.,1.)); -#8629=DIRECTION('',(0.,0.,-1.)); -#8630=DIRECTION('',(0.,0.,-1.)); -#8631=DIRECTION('',(0.,-1.,0.)); -#8632=DIRECTION('',(0.,0.,-1.)); -#8633=DIRECTION('',(0.,0.,-1.)); -#8634=DIRECTION('',(0.,0.,-1.)); -#8635=DIRECTION('',(-1.,0.,0.)); -#8636=DIRECTION('',(0.,0.,1.)); -#8637=DIRECTION('',(0.,0.,-1.)); -#8638=DIRECTION('',(1.,0.,0.)); -#8639=DIRECTION('',(0.,0.,-1.)); -#8640=DIRECTION('',(0.,0.,-1.)); -#8641=DIRECTION('',(-1.,0.,0.)); -#8642=DIRECTION('',(0.,0.,-1.)); -#8643=DIRECTION('',(-1.,0.,0.)); -#8644=DIRECTION('',(0.,0.,-1.)); -#8645=DIRECTION('',(-1.,0.,0.)); -#8646=DIRECTION('',(0.,0.,-1.)); -#8647=DIRECTION('',(-1.,0.,0.)); -#8648=DIRECTION('',(0.,0.,-1.)); -#8649=DIRECTION('',(0.,0.,-1.)); -#8650=DIRECTION('',(0.,1.,0.)); -#8651=DIRECTION('',(0.,0.,1.)); -#8652=DIRECTION('',(0.,0.,-1.)); -#8653=DIRECTION('',(0.,0.,-1.)); -#8654=DIRECTION('',(0.,-1.,0.)); -#8655=DIRECTION('',(0.,0.,-1.)); -#8656=DIRECTION('',(0.,0.,-1.)); -#8657=DIRECTION('',(0.,0.,-1.)); -#8658=DIRECTION('',(-1.,0.,0.)); -#8659=DIRECTION('',(0.,0.,1.)); -#8660=DIRECTION('',(0.,0.,-1.)); -#8661=DIRECTION('',(1.,0.,0.)); -#8662=DIRECTION('',(0.,0.,-1.)); -#8663=DIRECTION('',(0.,0.,-1.)); -#8664=DIRECTION('',(-1.,0.,0.)); -#8665=DIRECTION('',(0.,0.,-1.)); -#8666=DIRECTION('',(-1.,0.,0.)); -#8667=DIRECTION('',(1.,0.,0.)); -#8668=DIRECTION('',(0.,0.,-1.)); -#8669=DIRECTION('',(0.,0.,-1.)); -#8670=DIRECTION('',(0.,0.,-1.)); -#8671=DIRECTION('',(0.,0.,-1.)); -#8672=DIRECTION('',(0.,0.,-1.)); -#8673=DIRECTION('',(-1.,0.,0.)); -#8674=DIRECTION('',(0.,0.,1.)); -#8675=DIRECTION('',(0.,0.,-1.)); -#8676=DIRECTION('',(0.,0.,-1.)); -#8677=DIRECTION('',(0.,0.,-1.)); -#8678=DIRECTION('',(-1.,0.,0.)); -#8679=DIRECTION('',(0.,0.,-1.)); -#8680=DIRECTION('',(-1.,0.,0.)); -#8681=DIRECTION('',(1.,0.,0.)); -#8682=DIRECTION('',(0.,0.,-1.)); -#8683=DIRECTION('',(0.,0.,-1.)); -#8684=DIRECTION('',(0.,0.,-1.)); -#8685=DIRECTION('',(0.,1.,0.)); -#8686=DIRECTION('',(0.,0.,1.)); -#8687=DIRECTION('',(0.,0.,-1.)); -#8688=DIRECTION('',(-1.,0.,0.)); -#8689=DIRECTION('',(0.,0.,1.)); -#8690=DIRECTION('',(0.,0.,-1.)); -#8691=DIRECTION('',(0.,-1.,0.)); -#8692=DIRECTION('',(0.,0.,-1.)); -#8693=DIRECTION('',(0.,0.,-1.)); -#8694=DIRECTION('',(-1.,0.,0.)); -#8695=DIRECTION('',(0.,0.,-1.)); -#8696=DIRECTION('',(-1.,0.,0.)); -#8697=DIRECTION('',(1.,0.,0.)); -#8698=DIRECTION('',(0.,0.,-1.)); -#8699=DIRECTION('',(0.,0.,-1.)); -#8700=DIRECTION('',(0.,0.,-1.)); -#8701=DIRECTION('',(0.,1.,0.)); -#8702=DIRECTION('',(0.,0.,1.)); -#8703=DIRECTION('',(0.,0.,-1.)); -#8704=DIRECTION('',(-1.,0.,0.)); -#8705=DIRECTION('',(0.,0.,1.)); -#8706=DIRECTION('',(0.,0.,-1.)); -#8707=DIRECTION('',(0.,-1.,0.)); -#8708=DIRECTION('',(0.,0.,-1.)); -#8709=DIRECTION('',(0.,0.,-1.)); -#8710=DIRECTION('',(-1.,0.,0.)); -#8711=DIRECTION('',(0.,0.,-1.)); -#8712=DIRECTION('',(-1.,0.,0.)); -#8713=DIRECTION('',(0.,0.,-1.)); -#8714=DIRECTION('',(0.,0.,-1.)); -#8715=DIRECTION('',(0.,1.,0.)); -#8716=DIRECTION('',(0.,0.,1.)); -#8717=DIRECTION('',(0.,0.,-1.)); -#8718=DIRECTION('',(0.,0.,-1.)); -#8719=DIRECTION('',(1.,0.,0.)); -#8720=DIRECTION('',(0.,0.,-1.)); -#8721=DIRECTION('',(0.,0.,-1.)); -#8722=DIRECTION('',(0.,1.,0.)); -#8723=DIRECTION('',(0.,0.,1.)); -#8724=DIRECTION('',(0.,0.,-1.)); -#8725=DIRECTION('',(-1.,0.,0.)); -#8726=DIRECTION('',(0.,0.,1.)); -#8727=DIRECTION('',(0.,0.,-1.)); -#8728=DIRECTION('',(-0.0107520666114024,-0.999942194861075,0.)); -#8729=DIRECTION('',(0.999942194861075,-0.0107520666114024,0.)); -#8730=DIRECTION('',(0.,0.,-1.)); -#8731=DIRECTION('',(1.,0.,0.)); -#8732=DIRECTION('',(0.,0.,-1.)); -#8733=DIRECTION('',(0.,0.,-1.)); -#8734=DIRECTION('',(-1.,0.,0.)); -#8735=DIRECTION('',(0.,0.,-1.)); -#8736=DIRECTION('',(-1.,0.,0.)); -#8737=DIRECTION('',(0.,0.,-1.)); -#8738=DIRECTION('',(-1.,0.,0.)); -#8739=DIRECTION('',(0.,0.,-1.)); -#8740=DIRECTION('',(-1.,0.,0.)); -#8741=DIRECTION('',(1.,0.,0.)); -#8742=DIRECTION('',(0.,0.,-1.)); -#8743=DIRECTION('',(0.,0.,-1.)); -#8744=DIRECTION('',(0.,0.,-1.)); -#8745=DIRECTION('',(0.,0.,-1.)); -#8746=DIRECTION('',(-1.,0.,0.)); -#8747=DIRECTION('',(0.,0.,1.)); -#8748=DIRECTION('',(0.,0.,-1.)); -#8749=DIRECTION('',(0.,0.,-1.)); -#8750=DIRECTION('',(0.,-1.,0.)); -#8751=DIRECTION('',(0.,0.,-1.)); -#8752=DIRECTION('',(0.,0.,-1.)); -#8753=DIRECTION('',(-1.,0.,0.)); -#8754=DIRECTION('',(0.,0.,-1.)); -#8755=DIRECTION('',(-1.,0.,0.)); -#8756=DIRECTION('',(0.,0.,-1.)); -#8757=DIRECTION('',(0.,0.,-1.)); -#8758=DIRECTION('',(0.,1.,0.)); -#8759=DIRECTION('',(0.,0.,1.)); -#8760=DIRECTION('',(0.,0.,-1.)); -#8761=DIRECTION('',(0.,0.,-1.)); -#8762=DIRECTION('',(1.,0.,0.)); -#8763=DIRECTION('',(0.,0.,-1.)); -#8764=DIRECTION('',(0.,0.,-1.)); -#8765=DIRECTION('',(-0.0215004051520809,0.999768839571576,0.)); -#8766=DIRECTION('',(-0.999768839571576,-0.0215004051520809,0.)); -#8767=DIRECTION('',(0.,0.,-1.)); -#8768=DIRECTION('',(-1.,0.,0.)); -#8769=DIRECTION('',(0.,0.,1.)); -#8770=DIRECTION('',(0.,0.,-1.)); -#8771=DIRECTION('',(-1.,0.,0.)); -#8772=DIRECTION('',(0.,0.,-1.)); -#8773=DIRECTION('',(-1.,0.,0.)); -#8774=DIRECTION('',(1.,0.,0.)); -#8775=DIRECTION('',(0.,0.,-1.)); -#8776=DIRECTION('',(0.,0.,-1.)); -#8777=DIRECTION('',(0.,0.,-1.)); -#8778=DIRECTION('',(0.,1.,0.)); -#8779=DIRECTION('',(0.,0.,1.)); -#8780=DIRECTION('',(0.,0.,-1.)); -#8781=DIRECTION('',(-1.,0.,0.)); -#8782=DIRECTION('',(0.,0.,1.)); -#8783=DIRECTION('',(0.,0.,-1.)); -#8784=DIRECTION('',(0.894427190999916,0.447213595499959,0.)); -#8785=DIRECTION('',(-0.447213595499959,0.894427190999916,0.)); -#8786=DIRECTION('',(0.,0.,-1.)); -#8787=DIRECTION('',(0.,1.,0.)); -#8788=DIRECTION('',(0.,0.,1.)); -#8789=DIRECTION('',(0.,0.,-1.)); -#8790=DIRECTION('',(-0.894748234251417,0.446570931996219,0.)); -#8791=DIRECTION('',(-0.446570931996219,-0.894748234251417,0.)); -#8792=DIRECTION('',(0.,0.,-1.)); -#8793=DIRECTION('',(1.,0.,0.)); -#8794=DIRECTION('',(0.,0.,-1.)); -#8795=DIRECTION('',(0.,0.,-1.)); -#8796=DIRECTION('',(0.,1.,0.)); -#8797=DIRECTION('',(0.,0.,1.)); -#8798=DIRECTION('',(0.,0.,-1.)); -#8799=DIRECTION('',(-1.,0.,0.)); -#8800=DIRECTION('',(0.,0.,1.)); -#8801=DIRECTION('',(0.,0.,-1.)); -#8802=DIRECTION('',(0.0238027779462868,-0.999716673744136,0.)); -#8803=DIRECTION('',(0.999716673744136,0.0238027779462868,0.)); -#8804=DIRECTION('',(0.,0.,-1.)); -#8805=DIRECTION('',(0.898492256670633,-0.43898936741442,0.)); -#8806=DIRECTION('',(0.43898936741442,0.898492256670634,0.)); -#8807=DIRECTION('',(0.,0.,-1.)); -#8808=DIRECTION('',(-0.9017157212723,-0.432329455404527,0.)); -#8809=DIRECTION('',(0.432329455404527,-0.9017157212723,0.)); -#8810=DIRECTION('',(0.,0.,-1.)); -#8811=DIRECTION('',(-0.0229824337698004,-0.999735868986412,0.)); -#8812=DIRECTION('',(0.999735868986412,-0.0229824337698004,0.)); -#8813=DIRECTION('',(0.,0.,-1.)); -#8814=DIRECTION('',(-1.,0.,0.)); -#8815=DIRECTION('',(0.,0.,-1.)); -#8816=DIRECTION('',(-1.,0.,0.)); -#8817=DIRECTION('',(1.,0.,0.)); -#8818=DIRECTION('',(0.,0.,-1.)); -#8819=DIRECTION('',(0.,0.,-1.)); -#8820=DIRECTION('',(0.,0.,-1.)); -#8821=DIRECTION('',(0.,0.,-1.)); -#8822=DIRECTION('',(0.,0.,-1.)); -#8823=DIRECTION('',(1.,0.,0.)); -#8824=DIRECTION('',(0.,0.,-1.)); -#8825=DIRECTION('',(0.,0.,-1.)); -#8826=DIRECTION('',(0.,0.,-1.)); -#8827=DIRECTION('',(0.,0.,-1.)); -#8828=DIRECTION('',(-1.,0.,0.)); -#8829=DIRECTION('',(0.,0.,-1.)); -#8830=DIRECTION('',(-1.,0.,0.)); -#8831=DIRECTION('',(0.,0.,-1.)); -#8832=DIRECTION('',(0.,0.,-1.)); -#8833=DIRECTION('',(0.,1.,0.)); -#8834=DIRECTION('',(0.,0.,1.)); -#8835=DIRECTION('',(0.,0.,-1.)); -#8836=DIRECTION('',(0.,0.,-1.)); -#8837=DIRECTION('',(1.,0.,0.)); -#8838=DIRECTION('',(0.,0.,-1.)); -#8839=DIRECTION('',(0.,0.,-1.)); -#8840=DIRECTION('',(0.,1.,0.)); -#8841=DIRECTION('',(0.,0.,1.)); -#8842=DIRECTION('',(0.,0.,-1.)); -#8843=DIRECTION('',(-1.,0.,0.)); -#8844=DIRECTION('',(0.,0.,1.)); -#8845=DIRECTION('',(0.,0.,-1.)); -#8846=DIRECTION('',(-0.0106376959373647,-0.999943418111817,0.)); -#8847=DIRECTION('',(0.999943418111817,-0.0106376959373647,0.)); -#8848=DIRECTION('',(0.,0.,-1.)); -#8849=DIRECTION('',(1.,0.,0.)); -#8850=DIRECTION('',(0.,0.,-1.)); -#8851=DIRECTION('',(0.,0.,-1.)); -#8852=DIRECTION('',(-1.,0.,0.)); -#8853=DIRECTION('',(0.,0.,-1.)); -#8854=DIRECTION('',(-1.,0.,0.)); -#8855=DIRECTION('',(0.,0.,-1.)); -#8856=DIRECTION('',(0.,0.,-1.)); -#8857=DIRECTION('',(1.,0.,0.)); -#8858=DIRECTION('',(0.,0.,-1.)); -#8859=DIRECTION('',(0.,0.,-1.)); -#8860=DIRECTION('',(0.,0.,-1.)); -#8861=DIRECTION('',(0.,-1.,0.)); -#8862=DIRECTION('',(0.,0.,-1.)); -#8863=DIRECTION('',(0.,0.,1.)); -#8864=DIRECTION('',(1.,0.,0.)); -#8865=DIRECTION('',(0.,0.,1.)); -#8866=DIRECTION('',(1.,0.,0.)); -#8867=DIRECTION('',(1.,0.,0.)); -#8868=DIRECTION('',(0.,0.,-1.)); -#8869=DIRECTION('',(0.,0.,-1.)); -#8870=DIRECTION('',(0.,0.,-1.)); -#8871=DIRECTION('',(0.,1.,0.)); -#8872=DIRECTION('',(0.,0.,1.)); -#8873=DIRECTION('',(0.,0.,-1.)); -#8874=DIRECTION('',(-1.,0.,0.)); -#8875=DIRECTION('',(0.,0.,1.)); -#8876=DIRECTION('',(0.,0.,-1.)); -#8877=DIRECTION('',(0.,-1.,0.)); -#8878=DIRECTION('',(0.,0.,-1.)); -#8879=DIRECTION('',(0.,0.,-1.)); -#8880=DIRECTION('',(1.,0.,0.)); -#8881=DIRECTION('',(0.,0.,-1.)); -#8882=DIRECTION('',(0.,0.,-1.)); -#8883=DIRECTION('',(0.,-1.,0.)); -#8884=DIRECTION('',(0.,0.,-1.)); -#8885=DIRECTION('',(0.,0.,-1.)); -#8886=DIRECTION('',(-1.,0.,0.)); -#8887=DIRECTION('',(0.,0.,-1.)); -#8888=DIRECTION('',(-1.,0.,0.)); -#8889=DIRECTION('',(0.,1.,0.)); -#8890=DIRECTION('',(0.,0.,1.)); -#8891=DIRECTION('',(0.,0.,-1.)); -#8892=DIRECTION('',(0.,0.,-1.)); -#8893=DIRECTION('',(0.,0.,-1.)); -#8894=DIRECTION('',(0.,-1.,0.)); -#8895=DIRECTION('',(0.,0.,-1.)); -#8896=DIRECTION('',(0.,0.,-1.)); -#8897=DIRECTION('',(1.,0.,0.)); -#8898=DIRECTION('',(0.,0.,-1.)); -#8899=DIRECTION('',(0.,0.,-1.)); -#8900=DIRECTION('',(0.,1.,0.)); -#8901=DIRECTION('',(0.,0.,1.)); -#8902=DIRECTION('',(0.,0.,-1.)); -#8903=DIRECTION('',(1.,0.,0.)); -#8904=DIRECTION('',(0.,0.,-1.)); -#8905=DIRECTION('',(0.,0.,-1.)); -#8906=DIRECTION('',(0.,1.,0.)); -#8907=DIRECTION('',(0.,0.,1.)); -#8908=DIRECTION('',(0.,0.,-1.)); -#8909=DIRECTION('',(-1.,0.,0.)); -#8910=DIRECTION('',(0.,0.,1.)); -#8911=DIRECTION('',(0.,0.,-1.)); -#8912=DIRECTION('',(0.,1.,0.)); -#8913=DIRECTION('',(0.,0.,1.)); -#8914=DIRECTION('',(0.,0.,-1.)); -#8915=DIRECTION('',(-1.,0.,0.)); -#8916=DIRECTION('',(0.,0.,1.)); -#8917=DIRECTION('',(0.,0.,-1.)); -#8918=DIRECTION('',(0.,-1.,0.)); -#8919=DIRECTION('',(0.,0.,-1.)); -#8920=DIRECTION('',(0.,0.,-1.)); -#8921=DIRECTION('',(0.,0.,-1.)); -#8922=DIRECTION('',(1.,0.,0.)); -#8923=DIRECTION('',(0.,0.,-1.)); -#8924=DIRECTION('',(0.,0.,1.)); -#8925=DIRECTION('',(1.,0.,0.)); -#8926=DIRECTION('',(0.,0.,1.)); -#8927=DIRECTION('',(1.,0.,0.)); -#8928=DIRECTION('',(0.,1.,0.)); -#8929=DIRECTION('',(0.,0.,1.)); -#8930=DIRECTION('',(0.,0.,-1.)); -#8931=DIRECTION('',(0.,0.,-1.)); -#8932=DIRECTION('',(0.,0.,-1.)); -#8933=DIRECTION('',(0.,-1.,0.)); -#8934=DIRECTION('',(0.,0.,-1.)); -#8935=DIRECTION('',(0.,0.,-1.)); -#8936=DIRECTION('',(1.,0.,0.)); -#8937=DIRECTION('',(0.,0.,-1.)); -#8938=DIRECTION('',(0.,0.,-1.)); -#8939=DIRECTION('',(0.,1.,0.)); -#8940=DIRECTION('',(0.,0.,1.)); -#8941=DIRECTION('',(0.,0.,-1.)); -#8942=DIRECTION('',(1.,0.,0.)); -#8943=DIRECTION('',(0.,0.,-1.)); -#8944=DIRECTION('',(0.,0.,-1.)); -#8945=DIRECTION('',(0.,1.,0.)); -#8946=DIRECTION('',(0.,0.,1.)); -#8947=DIRECTION('',(0.,0.,-1.)); -#8948=DIRECTION('',(-1.,0.,0.)); -#8949=DIRECTION('',(0.,0.,1.)); -#8950=DIRECTION('',(0.,0.,-1.)); -#8951=DIRECTION('',(0.,1.,0.)); -#8952=DIRECTION('',(0.,0.,1.)); -#8953=DIRECTION('',(0.,0.,-1.)); -#8954=DIRECTION('',(-1.,0.,0.)); -#8955=DIRECTION('',(0.,0.,1.)); -#8956=DIRECTION('',(0.,0.,-1.)); -#8957=DIRECTION('',(0.,-1.,0.)); -#8958=DIRECTION('',(0.,0.,-1.)); -#8959=DIRECTION('',(0.,0.,-1.)); -#8960=DIRECTION('',(0.,0.,-1.)); -#8961=DIRECTION('',(1.,0.,0.)); -#8962=DIRECTION('',(0.,0.,-1.)); -#8963=DIRECTION('',(0.,0.,1.)); -#8964=DIRECTION('',(1.,0.,0.)); -#8965=DIRECTION('',(0.,0.,1.)); -#8966=DIRECTION('',(1.,0.,0.)); -#8967=DIRECTION('',(1.,0.,0.)); -#8968=DIRECTION('',(0.,0.,-1.)); -#8969=DIRECTION('',(0.,0.,-1.)); -#8970=DIRECTION('',(0.,0.,-1.)); -#8971=DIRECTION('',(0.,1.,0.)); -#8972=DIRECTION('',(0.,0.,1.)); -#8973=DIRECTION('',(0.,0.,-1.)); -#8974=DIRECTION('',(0.,0.,-1.)); -#8975=DIRECTION('',(1.,0.,0.)); -#8976=DIRECTION('',(0.,0.,-1.)); -#8977=DIRECTION('',(0.,0.,-1.)); -#8978=DIRECTION('',(0.,1.,0.)); -#8979=DIRECTION('',(0.,0.,1.)); -#8980=DIRECTION('',(0.,0.,-1.)); -#8981=DIRECTION('',(-1.,0.,0.)); -#8982=DIRECTION('',(0.,0.,1.)); -#8983=DIRECTION('',(0.,0.,-1.)); -#8984=DIRECTION('',(0.,0.,1.)); -#8985=DIRECTION('',(1.,0.,0.)); -#8986=DIRECTION('',(0.,0.,1.)); -#8987=DIRECTION('',(1.,0.,0.)); -#8988=DIRECTION('',(0.,0.,-1.)); -#8989=DIRECTION('',(-1.,0.,0.)); -#8990=DIRECTION('',(0.,1.,0.)); -#8991=DIRECTION('',(-1.,0.,0.)); -#8992=DIRECTION('',(0.,0.,-1.)); -#8993=DIRECTION('',(-1.,0.,0.)); -#8994=DIRECTION('',(0.,0.,-1.)); -#8995=DIRECTION('',(-1.,0.,0.)); -#8996=DIRECTION('',(0.,0.,-1.)); -#8997=DIRECTION('',(-1.,0.,0.)); -#8998=DIRECTION('',(0.,0.,-1.)); -#8999=DIRECTION('',(-1.,0.,0.)); -#9000=DIRECTION('',(0.,0.,-1.)); -#9001=DIRECTION('',(-1.,0.,0.)); -#9002=DIRECTION('',(0.,0.,-1.)); -#9003=DIRECTION('',(-1.,0.,0.)); -#9004=DIRECTION('',(0.,0.,-1.)); -#9005=DIRECTION('',(-1.,0.,0.)); -#9006=DIRECTION('',(0.,0.,-1.)); -#9007=DIRECTION('',(-1.,0.,0.)); -#9008=DIRECTION('',(0.,0.,-1.)); -#9009=DIRECTION('',(-1.,0.,0.)); -#9010=DIRECTION('',(1.,0.,0.)); -#9011=DIRECTION('',(0.,1.,0.)); -#9012=DIRECTION('',(0.,-0.984807753012208,-0.17364817766693)); -#9013=DIRECTION('',(0.,0.17364817766693,-0.984807753012208)); -#9014=DIRECTION('',(0.171087869746036,-0.171087869746036,0.970287525247814)); -#9015=DIRECTION('',(-1.,0.,0.)); -#9016=DIRECTION('',(1.,0.,0.)); -#9017=DIRECTION('',(0.,-0.984807753012208,-0.17364817766693)); -#9018=DIRECTION('',(0.,0.17364817766693,-0.984807753012208)); -#9019=DIRECTION('',(-1.,0.,0.)); -#9020=DIRECTION('',(0.171087869746036,0.171087869746036,-0.970287525247814)); -#9021=DIRECTION('',(1.,0.,0.)); -#9022=DIRECTION('',(0.,0.984807753012208,-0.17364817766693)); -#9023=DIRECTION('',(0.,0.17364817766693,0.984807753012208)); -#9024=DIRECTION('',(1.,0.,0.)); -#9025=DIRECTION('',(-0.171087869746036,-0.171087869746036,-0.970287525247814)); -#9026=DIRECTION('',(-1.,0.,0.)); -#9027=DIRECTION('',(0.,0.984807753012208,-0.17364817766693)); -#9028=DIRECTION('',(0.,0.17364817766693,0.984807753012208)); -#9029=DIRECTION('',(-0.171087869746036,0.171087869746036,0.970287525247814)); -#9030=DIRECTION('',(1.,0.,0.)); -#9031=DIRECTION('',(-1.,0.,0.)); -#9032=DIRECTION('',(0.,0.,-1.)); -#9033=DIRECTION('',(-1.,0.,0.)); -#9034=DIRECTION('',(-1.,0.,0.)); -#9035=DIRECTION('',(0.,-1.,0.)); -#9036=DIRECTION('',(0.,0.,-1.)); -#9037=DIRECTION('',(-1.,0.,0.)); -#9038=DIRECTION('',(0.,0.,-1.)); -#9039=DIRECTION('',(-1.,0.,0.)); -#9040=DIRECTION('',(0.,0.,-1.)); -#9041=DIRECTION('',(-1.,0.,0.)); -#9042=DIRECTION('',(0.,0.,-1.)); -#9043=DIRECTION('',(-1.,0.,0.)); -#9044=DIRECTION('',(0.,0.,-1.)); -#9045=DIRECTION('',(-1.,0.,0.)); -#9046=DIRECTION('',(0.,-1.,0.)); -#9047=DIRECTION('',(1.,0.,0.)); -#9048=DIRECTION('',(0.,0.,-1.)); -#9049=DIRECTION('',(-1.,0.,0.)); -#9050=DIRECTION('',(0.,0.,-1.)); -#9051=DIRECTION('',(-1.,0.,0.)); -#9052=DIRECTION('',(0.,0.,-1.)); -#9053=DIRECTION('',(-1.,0.,0.)); -#9054=DIRECTION('',(0.,0.,-1.)); -#9055=DIRECTION('',(-1.,0.,0.)); -#9056=DIRECTION('',(0.,-1.,0.)); -#9057=DIRECTION('',(1.,0.,0.)); -#9058=DIRECTION('',(0.,1.,0.)); -#9059=DIRECTION('',(0.,1.,0.)); -#9060=DIRECTION('',(-1.,0.,0.)); -#9061=DIRECTION('',(0.,-1.,0.)); -#9062=DIRECTION('',(0.984807753012208,0.,-0.17364817766693)); -#9063=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); -#9064=DIRECTION('',(0.,-1.,0.)); -#9065=DIRECTION('',(0.171087869746036,0.171087869746036,0.970287525247814)); -#9066=DIRECTION('',(-0.984807753012208,0.,-0.17364817766693)); -#9067=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); -#9068=DIRECTION('',(0.,1.,0.)); -#9069=DIRECTION('',(-0.171087869746036,0.171087869746036,0.970287525247814)); -#9070=DIRECTION('',(0.,0.984807753012208,-0.17364817766693)); -#9071=DIRECTION('',(0.,0.17364817766693,0.984807753012208)); -#9072=DIRECTION('',(0.984807753012208,0.,-0.17364817766693)); -#9073=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); -#9074=DIRECTION('',(0.,-1.,0.)); -#9075=DIRECTION('',(0.171087869746036,-0.171087869746036,0.970287525247814)); -#9076=DIRECTION('',(-0.984807753012208,0.,-0.17364817766693)); -#9077=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); -#9078=DIRECTION('',(0.,1.,0.)); -#9079=DIRECTION('',(-0.171087869746036,-0.171087869746036,0.970287525247814)); -#9080=DIRECTION('',(0.,-0.984807753012208,-0.17364817766693)); -#9081=DIRECTION('',(0.,0.17364817766693,-0.984807753012208)); -#9082=DIRECTION('',(-1.,0.,0.)); -#9083=DIRECTION('',(0.,0.,1.)); -#9084=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); -#9085=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); -#9086=DIRECTION('',(0.,-1.,0.)); -#9087=DIRECTION('',(0.,0.,-1.)); -#9088=DIRECTION('',(0.,-1.,0.)); -#9089=DIRECTION('',(0.,0.,-1.)); -#9090=DIRECTION('',(0.,1.,0.)); -#9091=DIRECTION('',(0.,0.,1.)); -#9092=DIRECTION('',(0.,1.,0.)); -#9093=DIRECTION('',(0.,0.,1.)); -#9094=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); -#9095=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); -#9096=DIRECTION('',(-1.,0.,0.)); -#9097=DIRECTION('',(0.,0.,1.)); -#9098=DIRECTION('',(1.,0.,0.)); -#9099=DIRECTION('',(0.,0.,-1.)); -#9100=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); -#9101=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); -#9102=DIRECTION('',(0.,-1.,0.)); -#9103=DIRECTION('',(0.,0.,-1.)); -#9104=DIRECTION('',(0.,1.,0.)); -#9105=DIRECTION('',(0.,0.,1.)); -#9106=DIRECTION('',(0.,-1.,0.)); -#9107=DIRECTION('',(0.,0.,-1.)); -#9108=DIRECTION('',(0.,1.,0.)); -#9109=DIRECTION('',(0.,0.,1.)); -#9110=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); -#9111=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); -#9112=DIRECTION('',(1.,0.,0.)); -#9113=DIRECTION('',(0.,0.,-1.)); -#9114=DIRECTION('',(-1.,0.,0.)); -#9115=DIRECTION('',(0.,0.,1.)); -#9116=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); -#9117=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); -#9118=DIRECTION('',(-1.,0.,0.)); -#9119=DIRECTION('',(1.,0.,0.)); -#9120=DIRECTION('',(0.,1.,0.)); -#9121=DIRECTION('',(0.,0.,1.)); -#9122=DIRECTION('',(0.,1.,0.)); -#9123=DIRECTION('',(0.,0.,1.)); -#9124=DIRECTION('',(0.,1.,0.)); -#9125=DIRECTION('',(0.,-1.,0.)); -#9126=DIRECTION('',(0.,-1.,0.)); -#9127=DIRECTION('',(0.,0.,-1.)); -#9128=DIRECTION('',(0.,-1.,0.)); -#9129=DIRECTION('',(0.,0.,-1.)); -#9130=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); -#9131=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); -#9132=DIRECTION('',(0.,-1.,0.)); -#9133=DIRECTION('',(0.,1.,0.)); -#9134=DIRECTION('',(-1.,0.,0.)); -#9135=DIRECTION('',(0.,0.,1.)); -#9136=DIRECTION('',(-1.,0.,0.)); -#9137=DIRECTION('',(1.,0.,0.)); -#9138=DIRECTION('',(1.,0.,0.)); -#9139=DIRECTION('',(0.,0.,-1.)); -#9140=DIRECTION('',(1.,0.,0.)); -#9141=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); -#9142=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); -#9143=DIRECTION('',(-1.,0.,0.)); -#9144=DIRECTION('',(0.,1.,0.)); -#9145=DIRECTION('',(0.,0.,1.)); -#9146=DIRECTION('',(0.,-1.,0.)); -#9147=DIRECTION('',(0.,0.,-1.)); -#9148=DIRECTION('',(0.,-1.,0.)); -#9149=DIRECTION('',(0.,1.,0.)); -#9150=DIRECTION('',(1.,0.,0.)); -#9151=DIRECTION('',(0.,0.,-1.)); -#9152=DIRECTION('',(-1.,0.,0.)); -#9153=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); -#9154=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); -#9155=DIRECTION('',(1.,0.,0.)); -#9156=DIRECTION('',(0.,-1.,0.)); -#9157=DIRECTION('',(0.,0.,-1.)); -#9158=DIRECTION('',(0.,1.,0.)); -#9159=DIRECTION('',(0.,1.,0.)); -#9160=DIRECTION('',(0.,0.,1.)); -#9161=DIRECTION('',(0.,-1.,0.)); -#9162=DIRECTION('',(0.,0.,-1.)); -#9163=DIRECTION('',(-1.,0.,0.)); -#9164=DIRECTION('',(1.,0.,0.)); -#9165=DIRECTION('',(0.,-1.,0.)); -#9166=DIRECTION('',(1.,0.,0.)); -#9167=DIRECTION('',(0.,1.,0.)); -#9168=DIRECTION('',(1.,0.,0.)); -#9169=DIRECTION('',(0.,0.,-1.)); -#9170=DIRECTION('',(-1.,0.,0.)); -#9171=DIRECTION('',(-1.,0.,0.)); -#9172=DIRECTION('',(0.,1.,0.)); -#9173=DIRECTION('',(-1.,0.,0.)); -#9174=DIRECTION('',(0.,-1.,0.)); -#9175=DIRECTION('',(-1.,0.,0.)); -#9176=DIRECTION('',(0.,0.,-1.)); -#9177=DIRECTION('',(-1.,0.,0.)); -#9178=DIRECTION('',(0.,0.984807753012208,-0.17364817766693)); -#9179=DIRECTION('',(0.,0.17364817766693,0.984807753012208)); -#9180=DIRECTION('',(-0.171087869746036,0.171087869746036,0.970287525247814)); -#9181=DIRECTION('',(0.,0.984807753012208,-0.17364817766693)); -#9182=DIRECTION('',(0.,0.17364817766693,0.984807753012208)); -#9183=DIRECTION('',(-0.171087869746036,-0.171087869746036,-0.970287525247814)); -#9184=DIRECTION('',(0.,-0.984807753012208,-0.17364817766693)); -#9185=DIRECTION('',(0.,0.17364817766693,-0.984807753012208)); -#9186=DIRECTION('',(0.171087869746036,0.171087869746036,-0.970287525247814)); -#9187=DIRECTION('',(0.,-0.984807753012208,-0.17364817766693)); -#9188=DIRECTION('',(0.,0.17364817766693,-0.984807753012208)); -#9189=DIRECTION('',(0.171087869746036,-0.171087869746036,0.970287525247814)); -#9190=DIRECTION('',(0.984807753012208,0.,-0.17364817766693)); -#9191=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); -#9192=DIRECTION('',(0.171087869746036,-0.171087869746036,0.970287525247814)); -#9193=DIRECTION('',(-0.984807753012208,0.,-0.17364817766693)); -#9194=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); -#9195=DIRECTION('',(-0.171087869746036,-0.171087869746036,0.970287525247814)); -#9196=DIRECTION('',(0.984807753012208,0.,-0.17364817766693)); -#9197=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); -#9198=DIRECTION('',(0.171087869746036,0.171087869746036,0.970287525247814)); -#9199=DIRECTION('',(0.,-0.984807753012208,-0.17364817766693)); -#9200=DIRECTION('',(0.,0.17364817766693,-0.984807753012208)); -#9201=DIRECTION('',(-0.984807753012208,0.,-0.17364817766693)); -#9202=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); -#9203=DIRECTION('',(-0.171087869746036,0.171087869746036,0.970287525247814)); -#9204=DIRECTION('',(0.,0.984807753012208,-0.17364817766693)); -#9205=DIRECTION('',(0.,0.17364817766693,0.984807753012208)); -#9206=DIRECTION('',(0.,0.,-1.)); -#9207=DIRECTION('',(-1.,0.,0.)); -#9208=DIRECTION('',(0.,0.,-1.)); -#9209=DIRECTION('',(-1.,0.,0.)); -#9210=DIRECTION('',(0.,0.,-1.)); -#9211=DIRECTION('',(-1.,0.,0.)); -#9212=DIRECTION('',(0.,0.,-1.)); -#9213=DIRECTION('',(-1.,0.,0.)); -#9214=DIRECTION('',(0.,0.,-1.)); -#9215=DIRECTION('',(-1.,0.,0.)); -#9216=DIRECTION('',(0.,0.,-1.)); -#9217=DIRECTION('',(-1.,0.,0.)); -#9218=DIRECTION('',(0.,0.,-1.)); -#9219=DIRECTION('',(-1.,0.,0.)); -#9220=DIRECTION('',(0.,0.,-1.)); -#9221=DIRECTION('',(-1.,0.,0.)); -#9222=DIRECTION('',(0.,0.,-1.)); -#9223=DIRECTION('',(-1.,0.,0.)); -#9224=DIRECTION('',(0.,0.,-1.)); -#9225=DIRECTION('',(-1.,0.,0.)); -#9226=DIRECTION('',(0.,0.,-1.)); -#9227=DIRECTION('',(-1.,0.,0.)); -#9228=DIRECTION('',(0.,0.,-1.)); -#9229=DIRECTION('',(-1.,0.,0.)); -#9230=DIRECTION('',(0.,0.,-1.)); -#9231=DIRECTION('',(-1.,0.,0.)); -#9232=DIRECTION('',(0.,0.,-1.)); -#9233=DIRECTION('',(-1.,0.,0.)); -#9234=DIRECTION('',(0.,0.,-1.)); -#9235=DIRECTION('',(-1.,0.,0.)); -#9236=DIRECTION('',(0.,0.,-1.)); -#9237=DIRECTION('',(-1.,0.,0.)); -#9238=DIRECTION('',(0.,0.,-1.)); -#9239=DIRECTION('',(-1.,0.,0.)); -#9240=CARTESIAN_POINT('',(0.,0.,0.)); -#9241=CARTESIAN_POINT('',(2.2098,6.96234044137772,6.19777838355155)); -#9242=CARTESIAN_POINT('',(2.2098,6.96234044137772,6.19777838355155)); -#9243=CARTESIAN_POINT('',(2.2098,6.96234044137772,7.239)); -#9244=CARTESIAN_POINT('',(2.2098,7.98830000000065,6.3754)); -#9245=CARTESIAN_POINT('',(7.4676,6.96234044137772,7.239)); -#9246=CARTESIAN_POINT('',(5.2578,6.96234044137772,7.239)); -#9247=CARTESIAN_POINT('',(5.2578,6.96234044137772,6.19777838355155)); -#9248=CARTESIAN_POINT('',(5.2578,7.98830000000065,6.3754)); -#9249=CARTESIAN_POINT('',(2.2098,7.98830000000065,6.3754)); -#9250=CARTESIAN_POINT('',(2.2098,0.784659558623583,6.19777838355155)); -#9251=CARTESIAN_POINT('',(2.2098,0.784659558623583,6.19777838355155)); -#9252=CARTESIAN_POINT('',(2.2098,-0.241299999999348,6.3754)); -#9253=CARTESIAN_POINT('',(2.2098,0.784659558623583,7.239)); -#9254=CARTESIAN_POINT('',(2.2098,-0.241299999999349,6.3754)); -#9255=CARTESIAN_POINT('',(5.2578,-0.241299999999348,6.3754)); -#9256=CARTESIAN_POINT('',(5.2578,0.784659558623583,6.19777838355155)); -#9257=CARTESIAN_POINT('',(5.2578,0.784659558623583,7.239)); -#9258=CARTESIAN_POINT('',(5.2578,0.784659558623583,7.239)); -#9259=CARTESIAN_POINT('',(5.2578,-0.241299999999349,7.239)); -#9260=CARTESIAN_POINT('',(5.2578,-0.241299999999349,7.239)); -#9261=CARTESIAN_POINT('',(5.2578,0.901700000000638,7.239)); -#9262=CARTESIAN_POINT('',(5.2578,-0.241299999999349,6.3754)); -#9263=CARTESIAN_POINT('',(5.2578,0.901700000000638,6.3754)); -#9264=CARTESIAN_POINT('',(5.2578,0.901700000000637,7.239)); -#9265=CARTESIAN_POINT('',(2.2098,0.901700000000654,7.239)); -#9266=CARTESIAN_POINT('',(2.2098,0.901700000000654,6.3754)); -#9267=CARTESIAN_POINT('',(2.2098,0.901700000000638,6.3754)); -#9268=CARTESIAN_POINT('',(2.2098,0.901700000000654,7.239)); -#9269=CARTESIAN_POINT('',(2.2098,0.90170000000064,7.239)); -#9270=CARTESIAN_POINT('',(2.2098,0.90170000000064,7.239)); -#9271=CARTESIAN_POINT('',(7.4676,0.,7.239)); -#9272=CARTESIAN_POINT('',(5.2578,0.901700000000637,7.239)); -#9273=CARTESIAN_POINT('',(5.2578,7.98830000000065,7.239)); -#9274=CARTESIAN_POINT('',(5.2578,7.98830000000065,6.3754)); -#9275=CARTESIAN_POINT('',(5.2578,6.84530000000064,6.3754)); -#9276=CARTESIAN_POINT('',(5.2578,7.98830000000065,7.239)); -#9277=CARTESIAN_POINT('',(5.2578,6.84530000000064,7.239)); -#9278=CARTESIAN_POINT('',(5.2578,6.84530000000064,7.239)); -#9279=CARTESIAN_POINT('',(2.2098,6.84530000000065,7.239)); -#9280=CARTESIAN_POINT('',(2.2098,6.84530000000065,7.239)); -#9281=CARTESIAN_POINT('',(2.2098,6.84530000000064,7.239)); -#9282=CARTESIAN_POINT('',(2.2098,6.84530000000065,6.3754)); -#9283=CARTESIAN_POINT('',(2.2098,6.84530000000064,6.3754)); -#9284=CARTESIAN_POINT('',(2.2098,6.84530000000064,7.239)); -#9285=CARTESIAN_POINT('',(7.4676,0.,7.239)); -#9286=CARTESIAN_POINT('',(5.2578,6.84530000000064,7.239)); -#9287=CARTESIAN_POINT('',(6.42496997578693,6.84530000000064,8.1534)); -#9288=CARTESIAN_POINT('',(6.42496997578693,6.84530000000064,6.3754)); -#9289=CARTESIAN_POINT('',(6.42496997578693,6.84530000000064,6.3754)); -#9290=CARTESIAN_POINT('',(6.42496997578693,0.901700000000637,6.3754)); -#9291=CARTESIAN_POINT('',(6.42496997578693,6.84530000000064,8.1534)); -#9292=CARTESIAN_POINT('',(6.42496997578693,6.84530000000064,8.1534)); -#9293=CARTESIAN_POINT('',(6.42496997578693,6.84530000000064,8.1534)); -#9294=CARTESIAN_POINT('',(6.42496997578693,0.901700000000638,8.1534)); -#9295=CARTESIAN_POINT('',(6.42496997578693,0.901700000000638,8.1534)); -#9296=CARTESIAN_POINT('',(6.42496997578693,0.901700000000638,8.1534)); -#9297=CARTESIAN_POINT('',(6.42496997578693,0.901700000000638,6.3754)); -#9298=CARTESIAN_POINT('',(6.42496997578693,0.901700000000638,8.1534)); -#9299=CARTESIAN_POINT('',(1.04263002421308,0.901700000000638,8.1534)); -#9300=CARTESIAN_POINT('',(1.04263002421308,0.901700000000638,8.1534)); -#9301=CARTESIAN_POINT('',(1.04263002421308,0.90170000000064,6.3754)); -#9302=CARTESIAN_POINT('',(6.42496997578693,0.901700000000638,6.3754)); -#9303=CARTESIAN_POINT('',(1.04263002421308,0.901700000000638,8.1534)); -#9304=CARTESIAN_POINT('',(1.04263002421308,0.901700000000638,6.3754)); -#9305=CARTESIAN_POINT('',(1.04263002421308,6.84530000000064,6.3754)); -#9306=CARTESIAN_POINT('',(1.04263002421308,0.901700000000638,8.1534)); -#9307=CARTESIAN_POINT('',(1.04263002421308,6.84530000000064,8.1534)); -#9308=CARTESIAN_POINT('',(1.04263002421308,6.84530000000064,8.1534)); -#9309=CARTESIAN_POINT('',(1.04263002421308,6.84530000000064,8.1534)); -#9310=CARTESIAN_POINT('',(1.04263002421308,6.84530000000064,6.3754)); -#9311=CARTESIAN_POINT('',(1.04263002421308,6.84530000000064,8.1534)); -#9312=CARTESIAN_POINT('',(1.04263002421308,6.84530000000064,6.3754)); -#9313=CARTESIAN_POINT('',(7.4676,0.,8.1534)); -#9314=CARTESIAN_POINT('',(-0.469900000000002,24.8434927035165,6.3754)); -#9315=CARTESIAN_POINT('',(-0.469899999999995,-1.10489999999935,6.3754)); -#9316=CARTESIAN_POINT('',(-0.469900000000005,-1.10489999999935,6.3754)); -#9317=CARTESIAN_POINT('',(-6.9977,-1.10489999999935,6.3754)); -#9318=CARTESIAN_POINT('',(-0.469900000000002,24.8434927035165,6.3754)); -#9319=CARTESIAN_POINT('',(-0.469900000000005,9.69010000000065,6.3754)); -#9320=CARTESIAN_POINT('',(-0.469899999999998,9.69010000000065,6.3754)); -#9321=CARTESIAN_POINT('',(-6.9977,9.69010000000065,6.3754)); -#9322=CARTESIAN_POINT('',(-6.9977,24.8434927035165,6.3754)); -#9323=CARTESIAN_POINT('',(-5.2578,7.98830000000065,6.3754)); -#9324=CARTESIAN_POINT('',(-5.2578,7.98830000000065,6.3754)); -#9325=CARTESIAN_POINT('',(-2.2098,7.98830000000065,6.3754)); -#9326=CARTESIAN_POINT('',(-2.2098,7.98830000000065,6.3754)); -#9327=CARTESIAN_POINT('',(-2.2098,6.84530000000064,6.3754)); -#9328=CARTESIAN_POINT('',(-6.42496997578693,6.84530000000064,6.3754)); -#9329=CARTESIAN_POINT('',(-1.04263002421308,6.84530000000064,6.3754)); -#9330=CARTESIAN_POINT('',(-1.04263002421308,6.84530000000064,6.3754)); -#9331=CARTESIAN_POINT('',(-1.04263002421308,0.901700000000637,6.3754)); -#9332=CARTESIAN_POINT('',(-1.04263002421308,0.901700000000638,6.3754)); -#9333=CARTESIAN_POINT('',(-2.2098,0.901700000000638,6.3754)); -#9334=CARTESIAN_POINT('',(-2.2098,-0.241299999999349,6.3754)); -#9335=CARTESIAN_POINT('',(-2.2098,-0.241299999999348,6.3754)); -#9336=CARTESIAN_POINT('',(-5.2578,-0.241299999999349,6.3754)); -#9337=CARTESIAN_POINT('',(-5.2578,-0.241299999999348,6.3754)); -#9338=CARTESIAN_POINT('',(-5.2578,0.901700000000654,6.3754)); -#9339=CARTESIAN_POINT('',(-5.2578,0.901700000000638,6.3754)); -#9340=CARTESIAN_POINT('',(-1.04263002421308,0.901700000000638,6.3754)); -#9341=CARTESIAN_POINT('',(-6.42496997578693,0.90170000000064,6.3754)); -#9342=CARTESIAN_POINT('',(-6.42496997578693,0.901700000000638,6.3754)); -#9343=CARTESIAN_POINT('',(-6.42496997578693,6.84530000000064,6.3754)); -#9344=CARTESIAN_POINT('',(-6.42496997578693,6.84530000000064,6.3754)); -#9345=CARTESIAN_POINT('',(-5.2578,6.84530000000064,6.3754)); -#9346=CARTESIAN_POINT('',(-5.2578,6.84530000000065,6.3754)); -#9347=CARTESIAN_POINT('',(8.0899,10.2997000000007,12.2174)); -#9348=CARTESIAN_POINT('',(8.0899,7.98774783900615,5.9944)); -#9349=CARTESIAN_POINT('',(8.0899,7.98774783900615,5.9944)); -#9350=CARTESIAN_POINT('',(8.0899,7.59460000000065,5.9944)); -#9351=CARTESIAN_POINT('',(8.0899,7.98774783900615,5.9944)); -#9352=CARTESIAN_POINT('',(8.0899,7.98774783900615,0.)); -#9353=CARTESIAN_POINT('',(8.0899,10.2997000000007,0.)); -#9354=CARTESIAN_POINT('',(8.0899,10.2997000000007,0.)); -#9355=CARTESIAN_POINT('',(8.0899,10.2997000000007,12.2174)); -#9356=CARTESIAN_POINT('',(8.0899,10.2997000000007,11.1761783835516)); -#9357=CARTESIAN_POINT('',(8.0899,9.25847838355221,11.1761783835516)); -#9358=CARTESIAN_POINT('',(8.0899,9.25847838355221,12.2174)); -#9359=CARTESIAN_POINT('',(8.0899,10.2997000000007,12.2174)); -#9360=CARTESIAN_POINT('',(8.0899,-0.673278383550899,12.2174)); -#9361=CARTESIAN_POINT('',(8.0899,-0.673278383550899,11.1761783835516)); -#9362=CARTESIAN_POINT('',(8.0899,-1.71449999999935,11.1761783835516)); -#9363=CARTESIAN_POINT('',(8.0899,-1.71449999999935,12.2174)); -#9364=CARTESIAN_POINT('',(8.0899,-1.71449999999935,0.)); -#9365=CARTESIAN_POINT('',(8.0899,10.2997000000007,0.)); -#9366=CARTESIAN_POINT('',(8.0899,0.597452160995144,0.)); -#9367=CARTESIAN_POINT('',(8.0899,0.597452160995144,5.9944)); -#9368=CARTESIAN_POINT('',(8.0899,0.597452160995144,5.9944)); -#9369=CARTESIAN_POINT('',(8.0899,0.99060000000065,5.9944)); -#9370=CARTESIAN_POINT('',(8.0899,0.99060000000065,5.9944)); -#9371=CARTESIAN_POINT('',(8.0899,0.99060000000065,5.9944)); -#9372=CARTESIAN_POINT('',(8.0899,0.99060000000065,0.)); -#9373=CARTESIAN_POINT('',(8.0899,10.2997000000007,0.)); -#9374=CARTESIAN_POINT('',(8.0899,7.59460000000065,0.)); -#9375=CARTESIAN_POINT('',(8.0899,7.59460000000065,5.9944)); -#9376=CARTESIAN_POINT('',(8.0899,-1.71449999999935,12.2174)); -#9377=CARTESIAN_POINT('',(0.469900000000002,-1.71449999999935,6.3754)); -#9378=CARTESIAN_POINT('',(0.469900000000006,-1.71449999999935,0.)); -#9379=CARTESIAN_POINT('',(0.469900000000002,-1.71449999999935,11.1761783835516)); -#9380=CARTESIAN_POINT('',(-0.469900000000002,-1.71449999999935,11.1761783835516)); -#9381=CARTESIAN_POINT('',(-0.469900000000002,-1.71449999999935,11.1761783835516)); -#9382=CARTESIAN_POINT('',(-0.469900000000002,-1.71449999999935,12.2174)); -#9383=CARTESIAN_POINT('',(-0.469900000000005,-1.71449999999935,0.)); -#9384=CARTESIAN_POINT('',(8.0899,-1.71449999999935,0.)); -#9385=CARTESIAN_POINT('',(-8.0899,10.2997000000007,12.2174)); -#9386=CARTESIAN_POINT('',(-0.469900000000002,10.2997000000007,12.2174)); -#9387=CARTESIAN_POINT('',(-0.469900000000005,10.2997000000007,0.)); -#9388=CARTESIAN_POINT('',(-0.469900000000002,10.2997000000007,11.1761783835516)); -#9389=CARTESIAN_POINT('',(0.469900000000002,10.2997000000007,11.1761783835516)); -#9390=CARTESIAN_POINT('',(0.469900000000002,10.2997000000007,11.1761783835516)); -#9391=CARTESIAN_POINT('',(0.469900000000002,10.2997000000007,12.2174)); -#9392=CARTESIAN_POINT('',(0.469900000000006,10.2997000000007,0.)); -#9393=CARTESIAN_POINT('',(-8.0899,10.2997000000007,0.)); -#9394=CARTESIAN_POINT('',(-8.0899,10.2997000000007,12.2174)); -#9395=CARTESIAN_POINT('',(6.9977,10.2997000000007,12.2174)); -#9396=CARTESIAN_POINT('',(6.9977,10.2997000000007,0.)); -#9397=CARTESIAN_POINT('',(6.9977,10.2997000000007,11.1761783835516)); -#9398=CARTESIAN_POINT('',(8.0899,10.2997000000007,11.1761783835516)); -#9399=CARTESIAN_POINT('',(-8.0899,10.2997000000007,0.)); -#9400=CARTESIAN_POINT('',(0.,0.,0.)); -#9401=CARTESIAN_POINT('',(8.0899,7.59460000000065,0.)); -#9402=CARTESIAN_POINT('',(7.6454,7.59460000000065,0.)); -#9403=CARTESIAN_POINT('',(7.6454,0.99060000000065,0.)); -#9404=CARTESIAN_POINT('',(7.6454,0.99060000000065,0.)); -#9405=CARTESIAN_POINT('',(7.6454,1.4290260804979,0.)); -#9406=CARTESIAN_POINT('',(7.6454,1.4290260804979,0.)); -#9407=CARTESIAN_POINT('',(7.2009,1.4290260804979,0.)); -#9408=CARTESIAN_POINT('',(7.2009,1.4290260804979,0.)); -#9409=CARTESIAN_POINT('',(7.2009,0.159026080497896,0.)); -#9410=CARTESIAN_POINT('',(7.2009,0.159026080497896,0.)); -#9411=CARTESIAN_POINT('',(7.6454,0.159026080497897,0.)); -#9412=CARTESIAN_POINT('',(7.6454,0.159026080497897,0.)); -#9413=CARTESIAN_POINT('',(7.6454,0.597452160995144,0.)); -#9414=CARTESIAN_POINT('',(7.6454,0.597452160995144,0.)); -#9415=CARTESIAN_POINT('',(8.0899,0.597452160995144,0.)); -#9416=CARTESIAN_POINT('',(8.0899,-1.71449999999935,0.)); -#9417=CARTESIAN_POINT('',(6.9977,-1.71449999999935,0.)); -#9418=CARTESIAN_POINT('',(6.9977,0.,0.)); -#9419=CARTESIAN_POINT('',(6.9977,-1.10489999999935,0.)); -#9420=CARTESIAN_POINT('',(2.93620510525085E-16,-1.10489999999935,0.)); -#9421=CARTESIAN_POINT('',(0.469900000000006,-1.10489999999935,0.)); -#9422=CARTESIAN_POINT('',(0.469900000000006,0.,0.)); -#9423=CARTESIAN_POINT('',(-0.469900000000005,0.,0.)); -#9424=CARTESIAN_POINT('',(-0.469900000000005,-1.10489999999935,0.)); -#9425=CARTESIAN_POINT('',(2.93620510525085E-16,-1.10489999999935,0.)); -#9426=CARTESIAN_POINT('',(-6.9977,-1.10489999999935,0.)); -#9427=CARTESIAN_POINT('',(-6.9977,0.,0.)); -#9428=CARTESIAN_POINT('',(-6.9977,-1.71449999999935,0.)); -#9429=CARTESIAN_POINT('',(8.0899,-1.71449999999935,0.)); -#9430=CARTESIAN_POINT('',(-8.0899,-1.71449999999935,0.)); -#9431=CARTESIAN_POINT('',(-8.0899,-1.71449999999935,0.)); -#9432=CARTESIAN_POINT('',(-8.0899,0.597452160995144,0.)); -#9433=CARTESIAN_POINT('',(-8.0899,0.597452160995144,0.)); -#9434=CARTESIAN_POINT('',(-8.5344,0.597452160995144,0.)); -#9435=CARTESIAN_POINT('',(-8.5344,0.597452160995144,0.)); -#9436=CARTESIAN_POINT('',(-8.5344,0.159026080497898,0.)); -#9437=CARTESIAN_POINT('',(-8.5344,0.159026080497898,0.)); -#9438=CARTESIAN_POINT('',(-8.9789,0.159026080497896,0.)); -#9439=CARTESIAN_POINT('',(-8.9789,0.159026080497896,0.)); -#9440=CARTESIAN_POINT('',(-8.9789,1.4290260804979,0.)); -#9441=CARTESIAN_POINT('',(-8.9789,1.4290260804979,0.)); -#9442=CARTESIAN_POINT('',(-8.5344,1.4290260804979,0.)); -#9443=CARTESIAN_POINT('',(-8.5344,1.4290260804979,0.)); -#9444=CARTESIAN_POINT('',(-8.5344,0.990600000000649,0.)); -#9445=CARTESIAN_POINT('',(-8.5344,0.990600000000649,0.)); -#9446=CARTESIAN_POINT('',(-8.0899,0.99060000000065,0.)); -#9447=CARTESIAN_POINT('',(-8.0899,-1.71449999999935,0.)); -#9448=CARTESIAN_POINT('',(-8.0899,7.59460000000065,0.)); -#9449=CARTESIAN_POINT('',(-8.0899,7.59460000000065,0.)); -#9450=CARTESIAN_POINT('',(-8.5344,7.59460000000065,0.)); -#9451=CARTESIAN_POINT('',(-8.5344,7.59460000000065,0.)); -#9452=CARTESIAN_POINT('',(-8.5344,7.1561739195034,0.)); -#9453=CARTESIAN_POINT('',(-8.5344,7.1561739195034,0.)); -#9454=CARTESIAN_POINT('',(-8.9789,7.1561739195034,0.)); -#9455=CARTESIAN_POINT('',(-8.9789,7.1561739195034,0.)); -#9456=CARTESIAN_POINT('',(-8.9789,8.4261739195034,0.)); -#9457=CARTESIAN_POINT('',(-8.9789,8.4261739195034,0.)); -#9458=CARTESIAN_POINT('',(-8.5344,8.4261739195034,0.)); -#9459=CARTESIAN_POINT('',(-8.5344,8.4261739195034,0.)); -#9460=CARTESIAN_POINT('',(-8.5344,7.98774783900615,0.)); -#9461=CARTESIAN_POINT('',(-8.5344,7.98774783900615,0.)); -#9462=CARTESIAN_POINT('',(-8.0899,7.98774783900615,0.)); -#9463=CARTESIAN_POINT('',(-8.0899,-1.71449999999935,0.)); -#9464=CARTESIAN_POINT('',(-8.0899,10.2997000000007,0.)); -#9465=CARTESIAN_POINT('',(-8.0899,10.2997000000007,0.)); -#9466=CARTESIAN_POINT('',(-6.9977,10.2997000000007,0.)); -#9467=CARTESIAN_POINT('',(-6.9977,0.,0.)); -#9468=CARTESIAN_POINT('',(-6.9977,9.69010000000065,0.)); -#9469=CARTESIAN_POINT('',(-2.57508562679065E-15,9.69010000000065,0.)); -#9470=CARTESIAN_POINT('',(-0.469900000000005,9.69010000000065,0.)); -#9471=CARTESIAN_POINT('',(-0.469900000000005,0.,0.)); -#9472=CARTESIAN_POINT('',(0.469900000000006,0.,0.)); -#9473=CARTESIAN_POINT('',(0.469900000000006,9.69010000000065,0.)); -#9474=CARTESIAN_POINT('',(-2.57508562679065E-15,9.69010000000065,0.)); -#9475=CARTESIAN_POINT('',(6.9977,9.69010000000065,0.)); -#9476=CARTESIAN_POINT('',(6.9977,0.,0.)); -#9477=CARTESIAN_POINT('',(7.6454,7.98774783900615,0.)); -#9478=CARTESIAN_POINT('',(7.6454,7.98774783900615,0.)); -#9479=CARTESIAN_POINT('',(7.6454,8.4261739195034,0.)); -#9480=CARTESIAN_POINT('',(7.6454,8.4261739195034,0.)); -#9481=CARTESIAN_POINT('',(7.2009,8.4261739195034,0.)); -#9482=CARTESIAN_POINT('',(7.2009,8.4261739195034,0.)); -#9483=CARTESIAN_POINT('',(7.2009,7.1561739195034,0.)); -#9484=CARTESIAN_POINT('',(7.2009,7.1561739195034,0.)); -#9485=CARTESIAN_POINT('',(7.6454,7.1561739195034,0.)); -#9486=CARTESIAN_POINT('',(7.6454,7.1561739195034,0.)); -#9487=CARTESIAN_POINT('',(7.6454,7.59460000000065,0.)); -#9488=CARTESIAN_POINT('',(-4.2799,-0.3175,0.)); -#9489=CARTESIAN_POINT('',(-4.2799,-0.3175,0.)); -#9490=CARTESIAN_POINT('',(-4.2799,0.317500000000004,0.)); -#9491=CARTESIAN_POINT('',(-3.1877,-0.3175,0.)); -#9492=CARTESIAN_POINT('',(-3.1877,-0.3175,0.)); -#9493=CARTESIAN_POINT('',(-3.1877,0.3175,0.)); -#9494=CARTESIAN_POINT('',(-3.1877,0.317500000000004,0.)); -#9495=CARTESIAN_POINT('',(-4.2799,0.317500000000004,0.)); -#9496=CARTESIAN_POINT('',(3.1877,-0.3175,0.)); -#9497=CARTESIAN_POINT('',(3.1877,-0.3175,0.)); -#9498=CARTESIAN_POINT('',(3.1877,0.317500000000004,0.)); -#9499=CARTESIAN_POINT('',(4.2799,-0.3175,0.)); -#9500=CARTESIAN_POINT('',(4.2799,-0.3175,0.)); -#9501=CARTESIAN_POINT('',(4.2799,0.3175,0.)); -#9502=CARTESIAN_POINT('',(4.2799,0.317500000000004,0.)); -#9503=CARTESIAN_POINT('',(3.1877,0.317500000000004,0.)); -#9504=CARTESIAN_POINT('',(6.9977,24.8434927035165,6.3754)); -#9505=CARTESIAN_POINT('',(6.99770000000001,-1.10489999999935,6.3754)); -#9506=CARTESIAN_POINT('',(6.9977,-1.10489999999935,6.3754)); -#9507=CARTESIAN_POINT('',(0.469900000000006,-1.10489999999935,6.3754)); -#9508=CARTESIAN_POINT('',(6.9977,24.8434927035165,6.3754)); -#9509=CARTESIAN_POINT('',(6.9977,9.69010000000065,6.3754)); -#9510=CARTESIAN_POINT('',(6.99770000000001,9.69010000000065,6.3754)); -#9511=CARTESIAN_POINT('',(0.469900000000006,9.69010000000065,6.3754)); -#9512=CARTESIAN_POINT('',(0.469900000000002,24.8434927035165,6.3754)); -#9513=CARTESIAN_POINT('',(8.0899,-1.71449999999935,12.2174)); -#9514=CARTESIAN_POINT('',(6.9977,-1.71449999999935,11.1761783835516)); -#9515=CARTESIAN_POINT('',(6.9977,-1.71449999999935,11.1761783835516)); -#9516=CARTESIAN_POINT('',(6.9977,-1.71449999999935,12.2174)); -#9517=CARTESIAN_POINT('',(0.,0.,12.2174)); -#9518=CARTESIAN_POINT('',(0.469900000000002,0.,12.2174)); -#9519=CARTESIAN_POINT('',(0.469900000000002,9.25847838355221,12.2174)); -#9520=CARTESIAN_POINT('',(0.469900000000002,-0.673278383550899,12.2174)); -#9521=CARTESIAN_POINT('',(-0.469900000000002,9.25847838355221,12.2174)); -#9522=CARTESIAN_POINT('',(-0.469900000000002,9.25847838355221,12.2174)); -#9523=CARTESIAN_POINT('',(-0.469900000000002,0.,12.2174)); -#9524=CARTESIAN_POINT('',(-0.469900000000002,-0.673278383550899,12.2174)); -#9525=CARTESIAN_POINT('',(0.469900000000002,-0.673278383550899,12.2174)); -#9526=CARTESIAN_POINT('',(0.,0.,12.2174)); -#9527=CARTESIAN_POINT('',(6.9977,9.25847838355221,12.2174)); -#9528=CARTESIAN_POINT('',(6.9977,9.25847838355221,12.2174)); -#9529=CARTESIAN_POINT('',(6.9977,0.,12.2174)); -#9530=CARTESIAN_POINT('',(6.9977,-0.673278383550899,12.2174)); -#9531=CARTESIAN_POINT('',(8.0899,-0.673278383550899,12.2174)); -#9532=CARTESIAN_POINT('',(8.0899,-1.71449999999935,12.2174)); -#9533=CARTESIAN_POINT('',(-6.9977,-1.71449999999935,6.3754)); -#9534=CARTESIAN_POINT('',(-6.9977,-1.71449999999935,11.1761783835516)); -#9535=CARTESIAN_POINT('',(-8.0899,-1.71449999999935,11.1761783835516)); -#9536=CARTESIAN_POINT('',(-8.0899,-1.71449999999935,11.1761783835516)); -#9537=CARTESIAN_POINT('',(-8.0899,-1.71449999999935,12.2174)); -#9538=CARTESIAN_POINT('',(-8.0899,10.2997000000007,12.2174)); -#9539=CARTESIAN_POINT('',(-8.0899,10.2997000000007,12.2174)); -#9540=CARTESIAN_POINT('',(-8.0899,10.2997000000007,11.1761783835516)); -#9541=CARTESIAN_POINT('',(-6.9977,10.2997000000007,11.1761783835516)); -#9542=CARTESIAN_POINT('',(-6.9977,10.2997000000007,11.1761783835516)); -#9543=CARTESIAN_POINT('',(-6.9977,10.2997000000007,12.2174)); -#9544=CARTESIAN_POINT('',(0.,0.,12.2174)); -#9545=CARTESIAN_POINT('',(-6.9977,0.,12.2174)); -#9546=CARTESIAN_POINT('',(-6.9977,9.25847838355221,12.2174)); -#9547=CARTESIAN_POINT('',(-6.9977,-0.673278383550899,12.2174)); -#9548=CARTESIAN_POINT('',(-8.0899,9.25847838355221,12.2174)); -#9549=CARTESIAN_POINT('',(-8.0899,9.25847838355221,12.2174)); -#9550=CARTESIAN_POINT('',(-8.0899,-1.71449999999935,12.2174)); -#9551=CARTESIAN_POINT('',(-8.0899,-0.673278383550899,12.2174)); -#9552=CARTESIAN_POINT('',(-6.9977,-0.673278383550899,12.2174)); -#9553=CARTESIAN_POINT('',(-4.2799,-0.3175,-5.08)); -#9554=CARTESIAN_POINT('',(-4.27990000000926,4.91724219439858,-4.09475060532688)); -#9555=CARTESIAN_POINT('',(-4.27990000000113,0.317500000000004,-4.09475060532688)); -#9556=CARTESIAN_POINT('',(-4.2799,-0.3175,-4.09475060532688)); -#9557=CARTESIAN_POINT('',(-4.2799,-0.3175,-5.08)); -#9558=CARTESIAN_POINT('',(-4.2799,0.317500000000004,-5.08)); -#9559=CARTESIAN_POINT('',(-4.2799,0.317500000000004,-5.08)); -#9560=CARTESIAN_POINT('',(-3.1877,0.317500000000004,-5.08)); -#9561=CARTESIAN_POINT('',(-3.18770000000111,0.317500000000004,-4.09475060532688)); -#9562=CARTESIAN_POINT('',(-3.57782784866963,0.317500000000004,-5.30610820884941)); -#9563=CARTESIAN_POINT('',(-3.50500780871703,0.317500000000004,-5.08)); -#9564=CARTESIAN_POINT('',(-4.2799,0.317500000000004,-5.08)); -#9565=CARTESIAN_POINT('',(-3.96259219128465,0.317500000000004,-5.08)); -#9566=CARTESIAN_POINT('',(-3.99241100681498,0.317500000000004,-4.98741176503041)); -#9567=CARTESIAN_POINT('',(-3.1877,-0.3175,-5.08)); -#9568=CARTESIAN_POINT('',(-3.1877,-0.3175,-5.08)); -#9569=CARTESIAN_POINT('',(-3.50500780871647,-0.317499999825089,-5.08)); -#9570=CARTESIAN_POINT('',(-3.96259219128353,-0.317499999825898,-5.08)); -#9571=CARTESIAN_POINT('',(-3.18769999999999,-0.317499999824528,-4.09475060532688)); -#9572=CARTESIAN_POINT('',(-3.18769999999999,-0.317499999824528,-4.09475060532688)); -#9573=CARTESIAN_POINT('',(-3.1877,-0.3175,-5.08)); -#9574=CARTESIAN_POINT('',(-4.2799,-0.317499999826458,-4.09475060532688)); -#9575=CARTESIAN_POINT('',(0.,0.,-5.08)); -#9576=CARTESIAN_POINT('',(-3.50500780872573,4.91724219440019,-5.08)); -#9577=CARTESIAN_POINT('',(-3.96259219128409,-7.00353433818632E-12,-5.08)); -#9578=CARTESIAN_POINT('',(-3.1877,0.3175,-5.08)); -#9579=CARTESIAN_POINT('',(-3.1877,0.317499999994593,-4.09475060532344)); -#9580=CARTESIAN_POINT('',(-4.27990000000926,4.91724219439858,-4.09475060532688)); -#9581=CARTESIAN_POINT('',(-3.18770000000924,4.91724219440075,-4.09475060532688)); -#9582=CARTESIAN_POINT('',(-8.0899,-1.71449999999935,12.2174)); -#9583=CARTESIAN_POINT('',(-8.0899,0.99060000000065,5.9944)); -#9584=CARTESIAN_POINT('',(-8.0899,0.99060000000065,5.9944)); -#9585=CARTESIAN_POINT('',(-8.0899,0.99060000000065,5.9944)); -#9586=CARTESIAN_POINT('',(-8.0899,0.597452160995144,5.9944)); -#9587=CARTESIAN_POINT('',(-8.0899,0.597452160995144,5.9944)); -#9588=CARTESIAN_POINT('',(-8.0899,-0.673278383550899,11.1761783835516)); -#9589=CARTESIAN_POINT('',(-8.0899,9.25847838355221,11.1761783835516)); -#9590=CARTESIAN_POINT('',(-8.0899,7.98774783900615,5.9944)); -#9591=CARTESIAN_POINT('',(-8.0899,7.98774783900615,5.9944)); -#9592=CARTESIAN_POINT('',(-8.0899,7.98774783900615,5.9944)); -#9593=CARTESIAN_POINT('',(-8.0899,7.59460000000065,5.9944)); -#9594=CARTESIAN_POINT('',(-8.0899,7.59460000000065,5.9944)); -#9595=CARTESIAN_POINT('',(3.18769999999075,4.91724219439858,-4.09475060532688)); -#9596=CARTESIAN_POINT('',(3.47518899318502,0.317500000000004,-4.98741176503041)); -#9597=CARTESIAN_POINT('',(3.18769999999888,0.317500000000004,-4.09475060532688)); -#9598=CARTESIAN_POINT('',(3.50500780871535,0.317500000000004,-5.08)); -#9599=CARTESIAN_POINT('',(3.50500780871591,-7.00353433818632E-12,-5.08)); -#9600=CARTESIAN_POINT('',(3.50500780871647,-0.317499999825898,-5.08)); -#9601=CARTESIAN_POINT('',(3.1877,-0.317499999826458,-4.09475060532688)); -#9602=CARTESIAN_POINT('',(3.1877,-0.3175,-4.09475060532688)); -#9603=CARTESIAN_POINT('',(3.18769999999075,4.91724219439858,-4.09475060532688)); -#9604=CARTESIAN_POINT('',(4.27989999999077,4.91724219440075,-4.09475060532688)); -#9605=CARTESIAN_POINT('',(4.2799,0.317499999994593,-4.09475060532344)); -#9606=CARTESIAN_POINT('',(4.27990000000002,-0.317499999824528,-4.09475060532688)); -#9607=CARTESIAN_POINT('',(4.27989999999889,0.317500000000004,-4.09475060532688)); -#9608=CARTESIAN_POINT('',(4.27990000000002,-0.317499999824528,-4.09475060532688)); -#9609=CARTESIAN_POINT('',(3.96259219128353,-0.317499999825089,-5.08)); -#9610=CARTESIAN_POINT('',(3.96259219127428,4.91724219440019,-5.08)); -#9611=CARTESIAN_POINT('',(3.96259219128297,0.317500000000004,-5.08)); -#9612=CARTESIAN_POINT('',(3.88977215133037,0.317500000000004,-5.30610820884941)); -#9613=CARTESIAN_POINT('',(4.2799,0.3175,-5.08)); -#9614=CARTESIAN_POINT('',(4.2799,-0.3175,-5.08)); -#9615=CARTESIAN_POINT('',(4.2799,0.317500000000004,-5.08)); -#9616=CARTESIAN_POINT('',(7.4676,0.,-5.08)); -#9617=CARTESIAN_POINT('',(4.2799,-0.3175,-5.08)); -#9618=CARTESIAN_POINT('',(3.1877,0.317500000000004,-5.08)); -#9619=CARTESIAN_POINT('',(4.2799,-0.3175,-5.08)); -#9620=CARTESIAN_POINT('',(3.1877,-0.3175,-5.08)); -#9621=CARTESIAN_POINT('',(3.1877,0.317500000000004,-5.08)); -#9622=CARTESIAN_POINT('',(3.1877,0.317500000000004,-5.08)); -#9623=CARTESIAN_POINT('',(3.1877,-0.3175,-5.08)); -#9624=CARTESIAN_POINT('',(-6.9977,24.8434927035165,6.3754)); -#9625=CARTESIAN_POINT('',(-6.9977,9.25847838355221,11.1761783835516)); -#9626=CARTESIAN_POINT('',(-6.9977,-0.673278383550899,11.1761783835516)); -#9627=CARTESIAN_POINT('',(-6.9977,-1.10489999999935,-14.3405927035158)); -#9628=CARTESIAN_POINT('',(-6.9977,9.69010000000065,-14.3405927035158)); -#9629=CARTESIAN_POINT('',(-0.469900000000002,24.8434927035165,12.2174)); -#9630=CARTESIAN_POINT('',(-0.469900000000002,9.25847838355221,11.1761783835516)); -#9631=CARTESIAN_POINT('',(-0.469900000000005,9.69010000000065,-14.3405927035158)); -#9632=CARTESIAN_POINT('',(-0.469900000000005,-1.10489999999935,-14.3405927035158)); -#9633=CARTESIAN_POINT('',(-0.469900000000002,-0.673278383550899,11.1761783835516)); -#9634=CARTESIAN_POINT('',(-0.469900000000005,9.69010000000065,-14.3405927035158)); -#9635=CARTESIAN_POINT('',(-0.469900000000005,-1.10489999999935,-14.3405927035158)); -#9636=CARTESIAN_POINT('',(8.0899,-0.673278383550899,11.1761783835516)); -#9637=CARTESIAN_POINT('',(-8.0899,9.25847838355221,11.1761783835516)); -#9638=CARTESIAN_POINT('',(0.469900000000002,24.8434927035165,6.3754)); -#9639=CARTESIAN_POINT('',(0.469900000000002,9.25847838355221,11.1761783835516)); -#9640=CARTESIAN_POINT('',(0.469900000000002,-0.673278383550899,11.1761783835516)); -#9641=CARTESIAN_POINT('',(0.469900000000006,-1.10489999999935,-14.3405927035158)); -#9642=CARTESIAN_POINT('',(0.469900000000006,9.69010000000065,-14.3405927035158)); -#9643=CARTESIAN_POINT('',(6.9977,24.8434927035165,12.2174)); -#9644=CARTESIAN_POINT('',(6.9977,9.25847838355221,11.1761783835516)); -#9645=CARTESIAN_POINT('',(6.9977,9.69010000000065,-14.3405927035158)); -#9646=CARTESIAN_POINT('',(6.9977,-1.10489999999935,-14.3405927035158)); -#9647=CARTESIAN_POINT('',(6.9977,-0.673278383550899,11.1761783835516)); -#9648=CARTESIAN_POINT('',(6.9977,9.69010000000065,-14.3405927035158)); -#9649=CARTESIAN_POINT('',(6.9977,-1.10489999999935,-14.3405927035158)); -#9650=CARTESIAN_POINT('',(8.0899,-0.673278383550899,11.1761783835516)); -#9651=CARTESIAN_POINT('',(-8.0899,9.25847838355221,11.1761783835516)); -#9652=CARTESIAN_POINT('',(8.0899,-0.673278383550899,11.1761783835516)); -#9653=CARTESIAN_POINT('',(-8.0899,9.25847838355221,11.1761783835516)); -#9654=CARTESIAN_POINT('',(7.2009,0.159026080497896,5.9944)); -#9655=CARTESIAN_POINT('',(7.2009,1.4290260804979,5.9944)); -#9656=CARTESIAN_POINT('',(7.2009,1.4290260804979,5.9944)); -#9657=CARTESIAN_POINT('',(7.2009,0.159026080497896,5.9944)); -#9658=CARTESIAN_POINT('',(7.2009,0.159026080497896,5.9944)); -#9659=CARTESIAN_POINT('',(7.2009,0.159026080497896,5.9944)); -#9660=CARTESIAN_POINT('',(7.2009,1.4290260804979,5.9944)); -#9661=CARTESIAN_POINT('',(7.6454,1.4290260804979,5.9944)); -#9662=CARTESIAN_POINT('',(7.6454,1.4290260804979,5.9944)); -#9663=CARTESIAN_POINT('',(7.2009,1.4290260804979,5.9944)); -#9664=CARTESIAN_POINT('',(7.6454,1.4290260804979,5.9944)); -#9665=CARTESIAN_POINT('',(7.6454,0.99060000000065,5.9944)); -#9666=CARTESIAN_POINT('',(7.6454,0.99060000000065,5.9944)); -#9667=CARTESIAN_POINT('',(7.6454,1.4290260804979,5.9944)); -#9668=CARTESIAN_POINT('',(7.6454,0.99060000000065,5.9944)); -#9669=CARTESIAN_POINT('',(7.6454,0.99060000000065,5.9944)); -#9670=CARTESIAN_POINT('',(8.0899,0.597452160995144,5.9944)); -#9671=CARTESIAN_POINT('',(7.6454,0.597452160995144,5.9944)); -#9672=CARTESIAN_POINT('',(7.6454,0.597452160995144,5.9944)); -#9673=CARTESIAN_POINT('',(8.0899,0.597452160995144,5.9944)); -#9674=CARTESIAN_POINT('',(7.6454,0.597452160995144,5.9944)); -#9675=CARTESIAN_POINT('',(7.6454,0.159026080497897,5.9944)); -#9676=CARTESIAN_POINT('',(7.6454,0.159026080497897,5.9944)); -#9677=CARTESIAN_POINT('',(7.6454,0.597452160995144,5.9944)); -#9678=CARTESIAN_POINT('',(7.6454,0.159026080497897,5.9944)); -#9679=CARTESIAN_POINT('',(7.6454,0.159026080497897,5.9944)); -#9680=CARTESIAN_POINT('',(0.,0.,5.9944)); -#9681=CARTESIAN_POINT('',(7.2009,7.1561739195034,5.9944)); -#9682=CARTESIAN_POINT('',(7.2009,8.4261739195034,5.9944)); -#9683=CARTESIAN_POINT('',(7.2009,8.4261739195034,5.9944)); -#9684=CARTESIAN_POINT('',(7.2009,7.1561739195034,5.9944)); -#9685=CARTESIAN_POINT('',(7.2009,7.1561739195034,5.9944)); -#9686=CARTESIAN_POINT('',(7.2009,7.1561739195034,5.9944)); -#9687=CARTESIAN_POINT('',(7.2009,8.4261739195034,5.9944)); -#9688=CARTESIAN_POINT('',(7.6454,8.4261739195034,5.9944)); -#9689=CARTESIAN_POINT('',(7.6454,8.4261739195034,5.9944)); -#9690=CARTESIAN_POINT('',(7.2009,8.4261739195034,5.9944)); -#9691=CARTESIAN_POINT('',(7.6454,8.4261739195034,5.9944)); -#9692=CARTESIAN_POINT('',(7.6454,7.98774783900615,5.9944)); -#9693=CARTESIAN_POINT('',(7.6454,7.98774783900615,5.9944)); -#9694=CARTESIAN_POINT('',(7.6454,8.4261739195034,5.9944)); -#9695=CARTESIAN_POINT('',(7.6454,7.98774783900615,5.9944)); -#9696=CARTESIAN_POINT('',(7.6454,7.98774783900615,5.9944)); -#9697=CARTESIAN_POINT('',(8.0899,7.59460000000065,5.9944)); -#9698=CARTESIAN_POINT('',(7.6454,7.59460000000065,5.9944)); -#9699=CARTESIAN_POINT('',(7.6454,7.59460000000065,5.9944)); -#9700=CARTESIAN_POINT('',(8.0899,7.59460000000065,5.9944)); -#9701=CARTESIAN_POINT('',(7.6454,7.59460000000065,5.9944)); -#9702=CARTESIAN_POINT('',(7.6454,7.1561739195034,5.9944)); -#9703=CARTESIAN_POINT('',(7.6454,7.1561739195034,5.9944)); -#9704=CARTESIAN_POINT('',(7.6454,7.59460000000065,5.9944)); -#9705=CARTESIAN_POINT('',(7.6454,7.1561739195034,5.9944)); -#9706=CARTESIAN_POINT('',(7.6454,7.1561739195034,5.9944)); -#9707=CARTESIAN_POINT('',(0.,0.,5.9944)); -#9708=CARTESIAN_POINT('',(-8.9789,7.1561739195034,5.9944)); -#9709=CARTESIAN_POINT('',(-8.9789,7.1561739195034,5.9944)); -#9710=CARTESIAN_POINT('',(-8.9789,7.1561739195034,5.9944)); -#9711=CARTESIAN_POINT('',(-8.9789,7.1561739195034,5.9944)); -#9712=CARTESIAN_POINT('',(-8.9789,8.4261739195034,5.9944)); -#9713=CARTESIAN_POINT('',(-8.9789,8.4261739195034,5.9944)); -#9714=CARTESIAN_POINT('',(-8.9789,8.4261739195034,5.9944)); -#9715=CARTESIAN_POINT('',(-8.9789,8.4261739195034,5.9944)); -#9716=CARTESIAN_POINT('',(-8.5344,8.4261739195034,5.9944)); -#9717=CARTESIAN_POINT('',(-8.5344,8.4261739195034,5.9944)); -#9718=CARTESIAN_POINT('',(-8.5344,8.4261739195034,5.9944)); -#9719=CARTESIAN_POINT('',(-8.5344,8.4261739195034,5.9944)); -#9720=CARTESIAN_POINT('',(-8.5344,7.98774783900615,5.9944)); -#9721=CARTESIAN_POINT('',(-8.5344,7.98774783900615,5.9944)); -#9722=CARTESIAN_POINT('',(-8.5344,7.98774783900615,5.9944)); -#9723=CARTESIAN_POINT('',(-8.5344,7.98774783900615,5.9944)); -#9724=CARTESIAN_POINT('',(-8.0899,7.59460000000065,5.9944)); -#9725=CARTESIAN_POINT('',(-8.0899,7.59460000000065,5.9944)); -#9726=CARTESIAN_POINT('',(-8.5344,7.59460000000065,5.9944)); -#9727=CARTESIAN_POINT('',(-8.5344,7.59460000000065,5.9944)); -#9728=CARTESIAN_POINT('',(-8.5344,7.59460000000065,5.9944)); -#9729=CARTESIAN_POINT('',(-8.5344,7.59460000000065,5.9944)); -#9730=CARTESIAN_POINT('',(-8.5344,7.1561739195034,5.9944)); -#9731=CARTESIAN_POINT('',(-8.5344,7.1561739195034,5.9944)); -#9732=CARTESIAN_POINT('',(-8.5344,7.1561739195034,5.9944)); -#9733=CARTESIAN_POINT('',(-8.5344,7.1561739195034,5.9944)); -#9734=CARTESIAN_POINT('',(0.,0.,5.9944)); -#9735=CARTESIAN_POINT('',(-8.5344,0.597452160995144,5.9944)); -#9736=CARTESIAN_POINT('',(-8.5344,0.597452160995144,5.9944)); -#9737=CARTESIAN_POINT('',(-8.5344,0.597452160995144,5.9944)); -#9738=CARTESIAN_POINT('',(-8.5344,0.597452160995144,5.9944)); -#9739=CARTESIAN_POINT('',(-8.5344,0.159026080497898,5.9944)); -#9740=CARTESIAN_POINT('',(-8.5344,0.159026080497898,5.9944)); -#9741=CARTESIAN_POINT('',(-8.5344,0.159026080497898,5.9944)); -#9742=CARTESIAN_POINT('',(-8.5344,0.159026080497898,5.9944)); -#9743=CARTESIAN_POINT('',(-8.9789,0.159026080497896,5.9944)); -#9744=CARTESIAN_POINT('',(-8.9789,0.159026080497896,5.9944)); -#9745=CARTESIAN_POINT('',(-8.9789,0.159026080497896,5.9944)); -#9746=CARTESIAN_POINT('',(-8.9789,0.159026080497896,5.9944)); -#9747=CARTESIAN_POINT('',(-8.9789,1.4290260804979,5.9944)); -#9748=CARTESIAN_POINT('',(-8.9789,1.4290260804979,5.9944)); -#9749=CARTESIAN_POINT('',(-8.9789,1.4290260804979,5.9944)); -#9750=CARTESIAN_POINT('',(-8.9789,1.4290260804979,5.9944)); -#9751=CARTESIAN_POINT('',(-8.5344,1.4290260804979,5.9944)); -#9752=CARTESIAN_POINT('',(-8.5344,1.4290260804979,5.9944)); -#9753=CARTESIAN_POINT('',(-8.5344,1.4290260804979,5.9944)); -#9754=CARTESIAN_POINT('',(-8.5344,1.4290260804979,5.9944)); -#9755=CARTESIAN_POINT('',(-8.5344,0.990600000000649,5.9944)); -#9756=CARTESIAN_POINT('',(-8.5344,0.990600000000649,5.9944)); -#9757=CARTESIAN_POINT('',(-8.5344,0.990600000000649,5.9944)); -#9758=CARTESIAN_POINT('',(-8.5344,0.990600000000649,5.9944)); -#9759=CARTESIAN_POINT('',(-8.0899,0.597452160995144,5.9944)); -#9760=CARTESIAN_POINT('',(-8.0899,0.597452160995144,5.9944)); -#9761=CARTESIAN_POINT('',(0.,0.,5.9944)); -#9762=CARTESIAN_POINT('',(-1.04263002421308,6.84530000000064,8.1534)); -#9763=CARTESIAN_POINT('',(-1.04263002421308,6.84530000000064,8.1534)); -#9764=CARTESIAN_POINT('',(-1.04263002421308,6.84530000000064,8.1534)); -#9765=CARTESIAN_POINT('',(-1.04263002421308,6.84530000000064,8.1534)); -#9766=CARTESIAN_POINT('',(-1.04263002421308,0.901700000000638,8.1534)); -#9767=CARTESIAN_POINT('',(-1.04263002421308,0.901700000000638,8.1534)); -#9768=CARTESIAN_POINT('',(-1.04263002421308,0.901700000000638,8.1534)); -#9769=CARTESIAN_POINT('',(-5.2578,0.90170000000064,7.239)); -#9770=CARTESIAN_POINT('',(-5.2578,0.90170000000064,7.239)); -#9771=CARTESIAN_POINT('',(-2.2098,0.901700000000637,7.239)); -#9772=CARTESIAN_POINT('',(-2.2098,0.901700000000638,7.239)); -#9773=CARTESIAN_POINT('',(-2.2098,0.901700000000637,7.239)); -#9774=CARTESIAN_POINT('',(-1.04263002421308,0.901700000000638,8.1534)); -#9775=CARTESIAN_POINT('',(-6.42496997578693,0.901700000000638,8.1534)); -#9776=CARTESIAN_POINT('',(-6.42496997578693,0.901700000000638,8.1534)); -#9777=CARTESIAN_POINT('',(-6.42496997578693,0.901700000000638,8.1534)); -#9778=CARTESIAN_POINT('',(-6.42496997578693,0.901700000000638,8.1534)); -#9779=CARTESIAN_POINT('',(-6.42496997578693,6.84530000000064,8.1534)); -#9780=CARTESIAN_POINT('',(-6.42496997578693,6.84530000000064,8.1534)); -#9781=CARTESIAN_POINT('',(-6.42496997578693,6.84530000000064,8.1534)); -#9782=CARTESIAN_POINT('',(-2.2098,6.84530000000064,7.239)); -#9783=CARTESIAN_POINT('',(-2.2098,6.84530000000064,7.239)); -#9784=CARTESIAN_POINT('',(-2.2098,6.84530000000064,7.239)); -#9785=CARTESIAN_POINT('',(-5.2578,6.84530000000064,7.239)); -#9786=CARTESIAN_POINT('',(-5.2578,6.84530000000064,7.239)); -#9787=CARTESIAN_POINT('',(-6.42496997578693,6.84530000000064,8.1534)); -#9788=CARTESIAN_POINT('',(-1.08335843538629E-15,0.,8.1534)); -#9789=CARTESIAN_POINT('',(-2.2098,-0.241299999999349,7.239)); -#9790=CARTESIAN_POINT('',(-2.2098,-0.241299999999349,7.239)); -#9791=CARTESIAN_POINT('',(-2.2098,0.784659558623583,7.239)); -#9792=CARTESIAN_POINT('',(-2.2098,0.784659558623583,6.19777838355155)); -#9793=CARTESIAN_POINT('',(-5.2578,0.901700000000654,7.239)); -#9794=CARTESIAN_POINT('',(-5.2578,0.784659558623583,6.19777838355155)); -#9795=CARTESIAN_POINT('',(-5.2578,0.784659558623583,7.239)); -#9796=CARTESIAN_POINT('',(-5.2578,0.901700000000654,7.239)); -#9797=CARTESIAN_POINT('',(-9.61860293099981E-16,0.,7.239)); -#9798=CARTESIAN_POINT('',(-2.2098,0.784659558623583,7.239)); -#9799=CARTESIAN_POINT('',(-2.2098,7.98830000000065,7.239)); -#9800=CARTESIAN_POINT('',(-2.2098,6.96234044137772,6.19777838355155)); -#9801=CARTESIAN_POINT('',(-2.2098,6.96234044137772,7.239)); -#9802=CARTESIAN_POINT('',(-2.2098,7.98830000000065,7.239)); -#9803=CARTESIAN_POINT('',(-5.2578,6.84530000000065,7.239)); -#9804=CARTESIAN_POINT('',(-5.2578,6.84530000000065,7.239)); -#9805=CARTESIAN_POINT('',(-5.2578,6.96234044137772,7.239)); -#9806=CARTESIAN_POINT('',(-5.2578,6.96234044137772,6.19777838355155)); -#9807=CARTESIAN_POINT('',(-9.61860293099981E-16,0.,7.239)); -#9808=CARTESIAN_POINT('',(-9.61860293099981E-16,6.96234044137772,7.239)); -#9809=CARTESIAN_POINT('',(-5.2578,6.96234044137772,6.19777838355155)); -#9810=CARTESIAN_POINT('',(-5.2578,0.784659558623583,6.19777838355155)); -#9811=CARTESIAN_POINT('',(-2.79047765793529,0.644392912172572,3.6322)); -#9812=CARTESIAN_POINT('',(-2.79047765793529,0.644392912172572,3.556)); -#9813=CARTESIAN_POINT('',(-2.64560355170008,0.644392912172572,3.6322)); -#9814=CARTESIAN_POINT('',(-2.64560355170008,0.644392912172572,3.556)); -#9815=CARTESIAN_POINT('',(-2.43922727589872,0.645682007845788,3.6322)); -#9816=CARTESIAN_POINT('',(-2.43922727589872,0.645682007845788,3.556)); -#9817=CARTESIAN_POINT('',(-2.12031937395779,0.64224441938388,3.6322)); -#9818=CARTESIAN_POINT('',(-2.12031937395779,0.64224441938388,3.556)); -#9819=CARTESIAN_POINT('',(-1.97363740039551,0.808865077100599,3.6322)); -#9820=CARTESIAN_POINT('',(-1.97363740039551,0.808865077100599,3.556)); -#9821=CARTESIAN_POINT('',(-1.95507412956994,1.03431845506877,3.6322)); -#9822=CARTESIAN_POINT('',(-1.95507412956994,1.03431845506877,3.556)); -#9823=CARTESIAN_POINT('',(-1.96336951054346,1.32173934033208,3.6322)); -#9824=CARTESIAN_POINT('',(-1.96336951054346,1.32173934033208,3.556)); -#9825=CARTESIAN_POINT('',(-1.9465760758667,1.61042549207829,3.6322)); -#9826=CARTESIAN_POINT('',(-1.9465760758667,1.61042549207829,3.556)); -#9827=CARTESIAN_POINT('',(-1.98026428158508,1.90520355839644,3.6322)); -#9828=CARTESIAN_POINT('',(-1.98026428158508,1.90520355839644,3.556)); -#9829=CARTESIAN_POINT('',(-2.18238270571184,2.12945920150782,3.6322)); -#9830=CARTESIAN_POINT('',(-2.18238270571184,2.12945920150782,3.556)); -#9831=CARTESIAN_POINT('',(-2.59643954414885,2.17050524487795,3.6322)); -#9832=CARTESIAN_POINT('',(-2.59643954414885,2.17050524487795,3.556)); -#9833=CARTESIAN_POINT('',(-2.83412993566322,1.85245547931451,3.6322)); -#9834=CARTESIAN_POINT('',(-2.83412993566322,1.85245547931451,3.556)); -#9835=CARTESIAN_POINT('',(-2.8080473564729,1.55183302494125,3.6322)); -#9836=CARTESIAN_POINT('',(-2.8080473564729,1.55183302494125,3.556)); -#9837=CARTESIAN_POINT('',(-2.81239445300462,1.40877362179643,3.6322)); -#9838=CARTESIAN_POINT('',(-2.81239445300462,1.40877362179643,3.556)); -#9839=CARTESIAN_POINT('',(-2.81239445300462,1.3363374422188,3.6322)); -#9840=CARTESIAN_POINT('',(-2.81239445300462,1.3363374422188,3.556)); -#9841=CARTESIAN_POINT('',(-2.79047765793529,0.644392912172572,3.556)); -#9842=CARTESIAN_POINT('',(-2.61827426810478,0.644392912172572,3.556)); -#9843=CARTESIAN_POINT('',(-2.44607087827427,0.644392912172572,3.556)); -#9844=CARTESIAN_POINT('',(-2.27386748844376,0.644392912172572,3.556)); -#9845=CARTESIAN_POINT('',(-2.15175963020031,0.644392912172572,3.556)); -#9846=CARTESIAN_POINT('',(-2.06409244992296,0.691357473035439,3.556)); -#9847=CARTESIAN_POINT('',(-2.01086594761171,0.786852080123267,3.556)); -#9848=CARTESIAN_POINT('',(-1.97673855931413,0.848080629715975,3.556)); -#9849=CARTESIAN_POINT('',(-1.95920493066256,0.918352850539291,3.556)); -#9850=CARTESIAN_POINT('',(-1.95920493066256,0.998192604006163,3.556)); -#9851=CARTESIAN_POINT('',(-1.95920493066256,1.23458089368259,3.556)); -#9852=CARTESIAN_POINT('',(-1.95920493066256,1.47096918335901,3.556)); -#9853=CARTESIAN_POINT('',(-1.95920493066256,1.70735747303544,3.556)); -#9854=CARTESIAN_POINT('',(-1.95920493066256,1.82789984591679,3.556)); -#9855=CARTESIAN_POINT('',(-2.00147303543914,1.92809090909091,3.556)); -#9856=CARTESIAN_POINT('',(-2.0844437596302,2.00949614791988,3.556)); -#9857=CARTESIAN_POINT('',(-2.16742953383472,2.09091615279978,3.556)); -#9858=CARTESIAN_POINT('',(-2.27073651771957,2.13003852080123,3.556)); -#9859=CARTESIAN_POINT('',(-2.51025577812019,2.13003852080123,3.556)); -#9860=CARTESIAN_POINT('',(-2.6104468412943,2.09090138674884,3.556)); -#9861=CARTESIAN_POINT('',(-2.69028659476117,2.01419260400616,3.556)); -#9862=CARTESIAN_POINT('',(-2.77172250483474,1.93595025903352,3.556)); -#9863=CARTESIAN_POINT('',(-2.81239445300462,1.83885824345146,3.556)); -#9864=CARTESIAN_POINT('',(-2.81239445300462,1.7214468412943,3.556)); -#9865=CARTESIAN_POINT('',(-2.81239445300462,1.59307704160246,3.556)); -#9866=CARTESIAN_POINT('',(-2.81239445300462,1.46470724191063,3.556)); -#9867=CARTESIAN_POINT('',(-2.81239445300462,1.3363374422188,3.556)); -#9868=CARTESIAN_POINT('',(-2.79047765793529,0.644392912172572,3.556)); -#9869=CARTESIAN_POINT('',(-2.81239445300462,1.3363374422188,3.556)); -#9870=CARTESIAN_POINT('',(-2.79047765793529,0.644392912172572,3.6322)); -#9871=CARTESIAN_POINT('',(-2.79047765793529,0.644392912172572,3.6322)); -#9872=CARTESIAN_POINT('',(-2.79047765793529,0.644392912172572,3.6322)); -#9873=CARTESIAN_POINT('',(-2.61827426810478,0.644392912172572,3.6322)); -#9874=CARTESIAN_POINT('',(-2.44607087827427,0.644392912172572,3.6322)); -#9875=CARTESIAN_POINT('',(-2.27386748844376,0.644392912172572,3.6322)); -#9876=CARTESIAN_POINT('',(-2.15175963020031,0.644392912172572,3.6322)); -#9877=CARTESIAN_POINT('',(-2.06409244992296,0.691357473035439,3.6322)); -#9878=CARTESIAN_POINT('',(-2.01086594761171,0.786852080123267,3.6322)); -#9879=CARTESIAN_POINT('',(-1.97673855931413,0.848080629715975,3.6322)); -#9880=CARTESIAN_POINT('',(-1.95920493066256,0.918352850539291,3.6322)); -#9881=CARTESIAN_POINT('',(-1.95920493066256,0.998192604006163,3.6322)); -#9882=CARTESIAN_POINT('',(-1.95920493066256,1.23458089368259,3.6322)); -#9883=CARTESIAN_POINT('',(-1.95920493066256,1.47096918335901,3.6322)); -#9884=CARTESIAN_POINT('',(-1.95920493066256,1.70735747303544,3.6322)); -#9885=CARTESIAN_POINT('',(-1.95920493066256,1.82789984591679,3.6322)); -#9886=CARTESIAN_POINT('',(-2.00147303543914,1.92809090909091,3.6322)); -#9887=CARTESIAN_POINT('',(-2.0844437596302,2.00949614791988,3.6322)); -#9888=CARTESIAN_POINT('',(-2.16742953383472,2.09091615279978,3.6322)); -#9889=CARTESIAN_POINT('',(-2.27073651771957,2.13003852080123,3.6322)); -#9890=CARTESIAN_POINT('',(-2.51025577812019,2.13003852080123,3.6322)); -#9891=CARTESIAN_POINT('',(-2.6104468412943,2.09090138674884,3.6322)); -#9892=CARTESIAN_POINT('',(-2.69028659476117,2.01419260400616,3.6322)); -#9893=CARTESIAN_POINT('',(-2.77172250483474,1.93595025903352,3.6322)); -#9894=CARTESIAN_POINT('',(-2.81239445300462,1.83885824345146,3.6322)); -#9895=CARTESIAN_POINT('',(-2.81239445300462,1.7214468412943,3.6322)); -#9896=CARTESIAN_POINT('',(-2.81239445300462,1.59307704160246,3.6322)); -#9897=CARTESIAN_POINT('',(-2.81239445300462,1.46470724191063,3.6322)); -#9898=CARTESIAN_POINT('',(-2.81239445300462,1.3363374422188,3.6322)); -#9899=CARTESIAN_POINT('',(-2.81239445300462,1.3363374422188,3.6322)); -#9900=CARTESIAN_POINT('',(-2.81239445300462,1.3363374422188,3.6322)); -#9901=CARTESIAN_POINT('',(-2.81239445300462,1.3363374422188,3.6322)); -#9902=CARTESIAN_POINT('',(-2.81239445300462,1.3363374422188,3.556)); -#9903=CARTESIAN_POINT('',(-2.57704982023626,1.3363374422188,3.556)); -#9904=CARTESIAN_POINT('',(-2.3417051874679,1.3363374422188,3.556)); -#9905=CARTESIAN_POINT('',(-2.10636055469954,1.3363374422188,3.556)); -#9906=CARTESIAN_POINT('',(-2.10636055469954,1.3363374422188,3.556)); -#9907=CARTESIAN_POINT('',(-2.81239445300462,1.3363374422188,3.6322)); -#9908=CARTESIAN_POINT('',(-2.57704982023626,1.3363374422188,3.6322)); -#9909=CARTESIAN_POINT('',(-2.3417051874679,1.3363374422188,3.6322)); -#9910=CARTESIAN_POINT('',(-2.10636055469954,1.3363374422188,3.6322)); -#9911=CARTESIAN_POINT('',(-2.10636055469954,1.3363374422188,3.6322)); -#9912=CARTESIAN_POINT('',(-2.10636055469954,1.3363374422188,3.6322)); -#9913=CARTESIAN_POINT('',(-2.10636055469954,1.3363374422188,3.6322)); -#9914=CARTESIAN_POINT('',(-2.10636055469954,1.3363374422188,3.556)); -#9915=CARTESIAN_POINT('',(-2.10636055469954,1.24090309932087,3.6322)); -#9916=CARTESIAN_POINT('',(-2.10636055469954,1.24090309932087,3.556)); -#9917=CARTESIAN_POINT('',(-2.10294989338422,1.10737198636917,3.6322)); -#9918=CARTESIAN_POINT('',(-2.10294989338422,1.10737198636917,3.556)); -#9919=CARTESIAN_POINT('',(-2.11204499022507,0.890848896852804,3.6322)); -#9920=CARTESIAN_POINT('',(-2.11204499022507,0.890848896852804,3.556)); -#9921=CARTESIAN_POINT('',(-2.19837329671021,0.799485628881497,3.6322)); -#9922=CARTESIAN_POINT('',(-2.19837329671021,0.799485628881497,3.556)); -#9923=CARTESIAN_POINT('',(-2.3661567891958,0.789611929454299,3.6322)); -#9924=CARTESIAN_POINT('',(-2.3661567891958,0.789611929454299,3.556)); -#9925=CARTESIAN_POINT('',(-2.54880229409283,0.793953803840813,3.6322)); -#9926=CARTESIAN_POINT('',(-2.54880229409283,0.793953803840813,3.556)); -#9927=CARTESIAN_POINT('',(-2.69504399110385,0.791548536209554,3.6322)); -#9928=CARTESIAN_POINT('',(-2.69504399110385,0.791548536209554,3.556)); -#9929=CARTESIAN_POINT('',(-2.79047765793529,0.791548536209554,3.6322)); -#9930=CARTESIAN_POINT('',(-2.79047765793529,0.791548536209554,3.556)); -#9931=CARTESIAN_POINT('',(-2.10636055469954,1.3363374422188,3.556)); -#9932=CARTESIAN_POINT('',(-2.10636055469954,1.22831895223421,3.556)); -#9933=CARTESIAN_POINT('',(-2.10636055469954,1.12030046224961,3.556)); -#9934=CARTESIAN_POINT('',(-2.10636055469954,1.01228197226502,3.556)); -#9935=CARTESIAN_POINT('',(-2.10636055469954,0.865126348228043,3.556)); -#9936=CARTESIAN_POINT('',(-2.18150385208012,0.789983050847457,3.556)); -#9937=CARTESIAN_POINT('',(-2.33335593220339,0.791548536209554,3.556)); -#9938=CARTESIAN_POINT('',(-2.48571364801676,0.793119234310723,3.556)); -#9939=CARTESIAN_POINT('',(-2.63810374935799,0.791548536209554,3.556)); -#9940=CARTESIAN_POINT('',(-2.79047765793529,0.791548536209554,3.556)); -#9941=CARTESIAN_POINT('',(-2.79047765793529,0.791548536209554,3.556)); -#9942=CARTESIAN_POINT('',(-2.10636055469954,1.3363374422188,3.6322)); -#9943=CARTESIAN_POINT('',(-2.10636055469954,1.22831895223421,3.6322)); -#9944=CARTESIAN_POINT('',(-2.10636055469954,1.12030046224961,3.6322)); -#9945=CARTESIAN_POINT('',(-2.10636055469954,1.01228197226502,3.6322)); -#9946=CARTESIAN_POINT('',(-2.10636055469954,0.865126348228043,3.6322)); -#9947=CARTESIAN_POINT('',(-2.18150385208012,0.789983050847457,3.6322)); -#9948=CARTESIAN_POINT('',(-2.33335593220339,0.791548536209554,3.6322)); -#9949=CARTESIAN_POINT('',(-2.48571364801676,0.793119234310723,3.6322)); -#9950=CARTESIAN_POINT('',(-2.63810374935799,0.791548536209554,3.6322)); -#9951=CARTESIAN_POINT('',(-2.79047765793529,0.791548536209554,3.6322)); -#9952=CARTESIAN_POINT('',(-2.79047765793529,0.791548536209554,3.6322)); -#9953=CARTESIAN_POINT('',(-2.79047765793529,0.791548536209554,3.6322)); -#9954=CARTESIAN_POINT('',(-2.10636055469954,1.4772311248074,3.6322)); -#9955=CARTESIAN_POINT('',(-2.10636055469954,1.4772311248074,3.556)); -#9956=CARTESIAN_POINT('',(-2.29317514124294,1.4772311248074,3.556)); -#9957=CARTESIAN_POINT('',(-2.47998972778634,1.4772311248074,3.556)); -#9958=CARTESIAN_POINT('',(-2.66680431432974,1.4772311248074,3.556)); -#9959=CARTESIAN_POINT('',(-2.10636055469954,1.4772311248074,3.556)); -#9960=CARTESIAN_POINT('',(-2.66680431432974,1.4772311248074,3.556)); -#9961=CARTESIAN_POINT('',(-2.10636055469954,1.4772311248074,3.6322)); -#9962=CARTESIAN_POINT('',(-2.10636055469954,1.4772311248074,3.6322)); -#9963=CARTESIAN_POINT('',(-2.10636055469954,1.4772311248074,3.6322)); -#9964=CARTESIAN_POINT('',(-2.29317514124294,1.4772311248074,3.6322)); -#9965=CARTESIAN_POINT('',(-2.47998972778634,1.4772311248074,3.6322)); -#9966=CARTESIAN_POINT('',(-2.66680431432974,1.4772311248074,3.6322)); -#9967=CARTESIAN_POINT('',(-2.66680431432974,1.4772311248074,3.6322)); -#9968=CARTESIAN_POINT('',(-2.66680431432974,1.4772311248074,3.6322)); -#9969=CARTESIAN_POINT('',(-2.66680431432974,1.4772311248074,3.6322)); -#9970=CARTESIAN_POINT('',(-2.66680431432974,1.4772311248074,3.556)); -#9971=CARTESIAN_POINT('',(-2.66680431432974,1.54872162300976,3.556)); -#9972=CARTESIAN_POINT('',(-2.66680431432974,1.62021212121212,3.556)); -#9973=CARTESIAN_POINT('',(-2.66680431432974,1.69170261941448,3.556)); -#9974=CARTESIAN_POINT('',(-2.66680431432974,1.69170261941448,3.556)); -#9975=CARTESIAN_POINT('',(-2.66680431432974,1.4772311248074,3.6322)); -#9976=CARTESIAN_POINT('',(-2.66680431432974,1.54872162300976,3.6322)); -#9977=CARTESIAN_POINT('',(-2.66680431432974,1.62021212121212,3.6322)); -#9978=CARTESIAN_POINT('',(-2.66680431432974,1.69170261941448,3.6322)); -#9979=CARTESIAN_POINT('',(-2.66680431432974,1.69170261941448,3.6322)); -#9980=CARTESIAN_POINT('',(-2.66680431432974,1.69170261941448,3.6322)); -#9981=CARTESIAN_POINT('',(-2.66680431432974,1.69170261941448,3.6322)); -#9982=CARTESIAN_POINT('',(-2.66680431432974,1.69170261941448,3.556)); -#9983=CARTESIAN_POINT('',(-2.66680431432974,1.79633337580496,3.6322)); -#9984=CARTESIAN_POINT('',(-2.66680431432974,1.79633337580496,3.556)); -#9985=CARTESIAN_POINT('',(-2.59244293215114,1.9744116832973,3.6322)); -#9986=CARTESIAN_POINT('',(-2.59244293215114,1.9744116832973,3.556)); -#9987=CARTESIAN_POINT('',(-2.25715677073473,2.00999453654108,3.6322)); -#9988=CARTESIAN_POINT('',(-2.25715677073473,2.00999453654108,3.556)); -#9989=CARTESIAN_POINT('',(-2.07377481825908,1.7768594126648,3.6322)); -#9990=CARTESIAN_POINT('',(-2.07377481825908,1.7768594126648,3.556)); -#9991=CARTESIAN_POINT('',(-2.10636055469954,1.57114392882878,3.6322)); -#9992=CARTESIAN_POINT('',(-2.10636055469954,1.57114392882878,3.556)); -#9993=CARTESIAN_POINT('',(-2.10636055469954,1.4772311248074,3.6322)); -#9994=CARTESIAN_POINT('',(-2.10636055469954,1.4772311248074,3.556)); -#9995=CARTESIAN_POINT('',(-2.66680431432974,1.69170261941448,3.556)); -#9996=CARTESIAN_POINT('',(-2.66680431432974,1.77623882896764,3.556)); -#9997=CARTESIAN_POINT('',(-2.6433220338983,1.84668567026194,3.556)); -#9998=CARTESIAN_POINT('',(-2.59322650231125,1.90147765793528,3.556)); -#9999=CARTESIAN_POINT('',(-2.5438437573008,1.95549003529047,3.556)); -#10000=CARTESIAN_POINT('',(-2.47894607087827,1.98444838212635,3.556)); -#10001=CARTESIAN_POINT('',(-2.32239753466872,1.98444838212635,3.556)); -#10002=CARTESIAN_POINT('',(-2.26134360554699,1.96409707241911,3.556)); -#10003=CARTESIAN_POINT('',(-2.20968258859784,1.92495993836672,3.556)); -#10004=CARTESIAN_POINT('',(-2.1402988890547,1.87239652962191,3.556)); -#10005=CARTESIAN_POINT('',(-2.10636055469954,1.79502465331279,3.556)); -#10006=CARTESIAN_POINT('',(-2.10636055469954,1.69483359013867,3.556)); -#10007=CARTESIAN_POINT('',(-2.10636055469954,1.62229943502825,3.556)); -#10008=CARTESIAN_POINT('',(-2.10636055469954,1.54976527991782,3.556)); -#10009=CARTESIAN_POINT('',(-2.10636055469954,1.4772311248074,3.556)); -#10010=CARTESIAN_POINT('',(-2.66680431432974,1.69170261941448,3.6322)); -#10011=CARTESIAN_POINT('',(-2.66680431432974,1.77623882896764,3.6322)); -#10012=CARTESIAN_POINT('',(-2.6433220338983,1.84668567026194,3.6322)); -#10013=CARTESIAN_POINT('',(-2.59322650231125,1.90147765793528,3.6322)); -#10014=CARTESIAN_POINT('',(-2.5438437573008,1.95549003529047,3.6322)); -#10015=CARTESIAN_POINT('',(-2.47894607087827,1.98444838212635,3.6322)); -#10016=CARTESIAN_POINT('',(-2.32239753466872,1.98444838212635,3.6322)); -#10017=CARTESIAN_POINT('',(-2.26134360554699,1.96409707241911,3.6322)); -#10018=CARTESIAN_POINT('',(-2.20968258859784,1.92495993836672,3.6322)); -#10019=CARTESIAN_POINT('',(-2.1402988890547,1.87239652962191,3.6322)); -#10020=CARTESIAN_POINT('',(-2.10636055469954,1.79502465331279,3.6322)); -#10021=CARTESIAN_POINT('',(-2.10636055469954,1.69483359013867,3.6322)); -#10022=CARTESIAN_POINT('',(-2.10636055469954,1.62229943502825,3.6322)); -#10023=CARTESIAN_POINT('',(-2.10636055469954,1.54976527991782,3.6322)); -#10024=CARTESIAN_POINT('',(-2.10636055469954,1.4772311248074,3.6322)); -#10025=CARTESIAN_POINT('',(-2.79047765793529,0.791548536209554,3.6322)); -#10026=CARTESIAN_POINT('',(-2.79047765793529,0.791548536209554,3.556)); -#10027=CARTESIAN_POINT('',(-2.79047765793529,0.74249666153056,3.556)); -#10028=CARTESIAN_POINT('',(-2.79047765793528,0.693444786851566,3.556)); -#10029=CARTESIAN_POINT('',(-2.79047765793529,0.644392912172572,3.556)); -#10030=CARTESIAN_POINT('',(-2.79047765793529,0.791548536209554,3.6322)); -#10031=CARTESIAN_POINT('',(-2.79047765793529,0.74249666153056,3.6322)); -#10032=CARTESIAN_POINT('',(-2.79047765793528,0.693444786851566,3.6322)); -#10033=CARTESIAN_POINT('',(-2.79047765793529,0.644392912172572,3.6322)); -#10034=CARTESIAN_POINT('',(-1.95920493066256,1.68462766820549,3.6322)); -#10035=CARTESIAN_POINT('',(-1.95920493066256,1.68462766820549,3.556)); -#10036=CARTESIAN_POINT('',(-1.40815408320493,2.67169645608629,3.6322)); -#10037=CARTESIAN_POINT('',(-1.40815408320493,2.67169645608629,3.556)); -#10038=CARTESIAN_POINT('',(-1.40815408320493,2.49397268329386,3.6322)); -#10039=CARTESIAN_POINT('',(-1.40815408320493,2.49397268329386,3.556)); -#10040=CARTESIAN_POINT('',(-1.40795298465594,2.13836180924482,3.6322)); -#10041=CARTESIAN_POINT('',(-1.40795298465594,2.13836180924482,3.556)); -#10042=CARTESIAN_POINT('',(-1.40870710421465,1.69466485900447,3.6322)); -#10043=CARTESIAN_POINT('',(-1.40870710421465,1.69466485900447,3.556)); -#10044=CARTESIAN_POINT('',(-1.40719886509723,1.33799234993819,3.6322)); -#10045=CARTESIAN_POINT('',(-1.40719886509723,1.33799234993819,3.556)); -#10046=CARTESIAN_POINT('',(-1.41103230618735,1.1189510827963,3.6322)); -#10047=CARTESIAN_POINT('',(-1.41103230618735,1.1189510827963,3.556)); -#10048=CARTESIAN_POINT('',(-1.40316432545811,0.934837083842398,3.6322)); -#10049=CARTESIAN_POINT('',(-1.40316432545811,0.934837083842398,3.556)); -#10050=CARTESIAN_POINT('',(-1.42319562623644,0.840028772084917,3.6322)); -#10051=CARTESIAN_POINT('',(-1.42319562623644,0.840028772084917,3.556)); -#10052=CARTESIAN_POINT('',(-1.53892029637432,0.799194293891912,3.6322)); -#10053=CARTESIAN_POINT('',(-1.53892029637432,0.799194293891912,3.556)); -#10054=CARTESIAN_POINT('',(-1.57813629678389,0.796049421197207,3.6322)); -#10055=CARTESIAN_POINT('',(-1.57813629678389,0.796049421197207,3.556)); -#10056=CARTESIAN_POINT('',(-1.66270716105154,0.790511482750444,3.6322)); -#10057=CARTESIAN_POINT('',(-1.66270716105154,0.790511482750444,3.556)); -#10058=CARTESIAN_POINT('',(-1.72907858243451,0.791548536209554,3.6322)); -#10059=CARTESIAN_POINT('',(-1.72907858243451,0.791548536209554,3.556)); -#10060=CARTESIAN_POINT('',(-1.40815408320493,2.67169645608629,3.556)); -#10061=CARTESIAN_POINT('',(-1.40815408320493,2.09142321520288,3.556)); -#10062=CARTESIAN_POINT('',(-1.40815408320493,1.51114997431947,3.556)); -#10063=CARTESIAN_POINT('',(-1.40815408320493,0.930876733436056,3.556)); -#10064=CARTESIAN_POINT('',(-1.40815408320493,0.866691833590139,3.556)); -#10065=CARTESIAN_POINT('',(-1.44885670261941,0.824423728813559,3.556)); -#10066=CARTESIAN_POINT('',(-1.52869645608629,0.804072419106317,3.556)); -#10067=CARTESIAN_POINT('',(-1.5632840749167,0.795255967247584,3.556)); -#10068=CARTESIAN_POINT('',(-1.6288875192604,0.789983050847457,3.556)); -#10069=CARTESIAN_POINT('',(-1.72907858243451,0.791548536209554,3.556)); -#10070=CARTESIAN_POINT('',(-1.40815408320493,2.67169645608629,3.556)); -#10071=CARTESIAN_POINT('',(-1.72907858243451,0.791548536209554,3.556)); -#10072=CARTESIAN_POINT('',(-1.40815408320493,2.67169645608629,3.6322)); -#10073=CARTESIAN_POINT('',(-1.40815408320493,2.67169645608629,3.6322)); -#10074=CARTESIAN_POINT('',(-1.40815408320493,2.67169645608629,3.6322)); -#10075=CARTESIAN_POINT('',(-1.40815408320493,2.09142321520288,3.6322)); -#10076=CARTESIAN_POINT('',(-1.40815408320493,1.51114997431947,3.6322)); -#10077=CARTESIAN_POINT('',(-1.40815408320493,0.930876733436056,3.6322)); -#10078=CARTESIAN_POINT('',(-1.40815408320493,0.866691833590139,3.6322)); -#10079=CARTESIAN_POINT('',(-1.44885670261941,0.824423728813559,3.6322)); -#10080=CARTESIAN_POINT('',(-1.52869645608629,0.804072419106317,3.6322)); -#10081=CARTESIAN_POINT('',(-1.5632840749167,0.795255967247584,3.6322)); -#10082=CARTESIAN_POINT('',(-1.6288875192604,0.789983050847457,3.6322)); -#10083=CARTESIAN_POINT('',(-1.72907858243451,0.791548536209554,3.6322)); -#10084=CARTESIAN_POINT('',(-1.72907858243451,0.791548536209554,3.6322)); -#10085=CARTESIAN_POINT('',(-1.72907858243451,0.791548536209554,3.6322)); -#10086=CARTESIAN_POINT('',(-1.72907858243451,0.791548536209554,3.6322)); -#10087=CARTESIAN_POINT('',(-1.72907858243451,0.791548536209554,3.556)); -#10088=CARTESIAN_POINT('',(-1.72907858243451,0.74249666153056,3.556)); -#10089=CARTESIAN_POINT('',(-1.72907858243451,0.693444786851566,3.556)); -#10090=CARTESIAN_POINT('',(-1.72907858243451,0.644392912172572,3.556)); -#10091=CARTESIAN_POINT('',(-1.72907858243451,0.644392912172572,3.556)); -#10092=CARTESIAN_POINT('',(-1.72907858243451,0.791548536209554,3.6322)); -#10093=CARTESIAN_POINT('',(-1.72907858243451,0.74249666153056,3.6322)); -#10094=CARTESIAN_POINT('',(-1.72907858243451,0.693444786851566,3.6322)); -#10095=CARTESIAN_POINT('',(-1.72907858243451,0.644392912172572,3.6322)); -#10096=CARTESIAN_POINT('',(-1.72907858243451,0.644392912172572,3.6322)); -#10097=CARTESIAN_POINT('',(-1.72907858243451,0.644392912172572,3.6322)); -#10098=CARTESIAN_POINT('',(-1.72907858243451,0.644392912172572,3.6322)); -#10099=CARTESIAN_POINT('',(-1.72907858243451,0.644392912172572,3.556)); -#10100=CARTESIAN_POINT('',(-1.58199050109073,0.644392912172572,3.6322)); -#10101=CARTESIAN_POINT('',(-1.58199050109073,0.644392912172572,3.556)); -#10102=CARTESIAN_POINT('',(-1.41721935442029,0.669699178952956,3.6322)); -#10103=CARTESIAN_POINT('',(-1.41721935442029,0.669699178952956,3.556)); -#10104=CARTESIAN_POINT('',(-1.30574636569453,0.721646060322934,3.6322)); -#10105=CARTESIAN_POINT('',(-1.30574636569453,0.721646060322934,3.556)); -#10106=CARTESIAN_POINT('',(-1.25484435935298,0.871773702604724,3.6322)); -#10107=CARTESIAN_POINT('',(-1.25484435935298,0.871773702604724,3.556)); -#10108=CARTESIAN_POINT('',(-1.2645834937084,1.03840438338452,3.6322)); -#10109=CARTESIAN_POINT('',(-1.2645834937084,1.03840438338452,3.556)); -#10110=CARTESIAN_POINT('',(-1.26141261275547,1.23102709822853,3.6322)); -#10111=CARTESIAN_POINT('',(-1.26141261275547,1.23102709822853,3.556)); -#10112=CARTESIAN_POINT('',(-1.26339441335105,1.58189145078509,3.6322)); -#10113=CARTESIAN_POINT('',(-1.26339441335105,1.58189145078509,3.556)); -#10114=CARTESIAN_POINT('',(-1.26226195586786,2.07587644984658,3.6322)); -#10115=CARTESIAN_POINT('',(-1.26226195586786,2.07587644984658,3.556)); -#10116=CARTESIAN_POINT('',(-1.26256394453005,2.47327390899826,3.6322)); -#10117=CARTESIAN_POINT('',(-1.26256394453005,2.47327390899826,3.556)); -#10118=CARTESIAN_POINT('',(-1.26256394453005,2.67169645608629,3.6322)); -#10119=CARTESIAN_POINT('',(-1.26256394453005,2.67169645608629,3.556)); -#10120=CARTESIAN_POINT('',(-1.72907858243451,0.644392912172572,3.556)); -#10121=CARTESIAN_POINT('',(-1.524,0.644392912172572,3.556)); -#10122=CARTESIAN_POINT('',(-1.39093374422188,0.669440677966102,3.556)); -#10123=CARTESIAN_POINT('',(-1.32831432973806,0.72266718027735,3.556)); -#10124=CARTESIAN_POINT('',(-1.28509875414001,0.759400419535694,3.556)); -#10125=CARTESIAN_POINT('',(-1.26256394453005,0.82755469953775,3.556)); -#10126=CARTESIAN_POINT('',(-1.26256394453005,0.927745762711865,3.556)); -#10127=CARTESIAN_POINT('',(-1.26256394453005,1.50906266050334,3.556)); -#10128=CARTESIAN_POINT('',(-1.26256394453005,2.09037955829481,3.556)); -#10129=CARTESIAN_POINT('',(-1.26256394453005,2.67169645608629,3.556)); -#10130=CARTESIAN_POINT('',(-1.26256394453005,2.67169645608629,3.556)); -#10131=CARTESIAN_POINT('',(-1.72907858243451,0.644392912172572,3.6322)); -#10132=CARTESIAN_POINT('',(-1.524,0.644392912172572,3.6322)); -#10133=CARTESIAN_POINT('',(-1.39093374422188,0.669440677966102,3.6322)); -#10134=CARTESIAN_POINT('',(-1.32831432973806,0.72266718027735,3.6322)); -#10135=CARTESIAN_POINT('',(-1.28509875414001,0.759400419535694,3.6322)); -#10136=CARTESIAN_POINT('',(-1.26256394453005,0.82755469953775,3.6322)); -#10137=CARTESIAN_POINT('',(-1.26256394453005,0.927745762711865,3.6322)); -#10138=CARTESIAN_POINT('',(-1.26256394453005,1.50906266050334,3.6322)); -#10139=CARTESIAN_POINT('',(-1.26256394453005,2.09037955829481,3.6322)); -#10140=CARTESIAN_POINT('',(-1.26256394453005,2.67169645608629,3.6322)); -#10141=CARTESIAN_POINT('',(-1.26256394453005,2.67169645608629,3.6322)); -#10142=CARTESIAN_POINT('',(-1.26256394453005,2.67169645608629,3.6322)); -#10143=CARTESIAN_POINT('',(-1.26256394453005,2.67169645608629,3.6322)); -#10144=CARTESIAN_POINT('',(-1.26256394453005,2.67169645608629,3.556)); -#10145=CARTESIAN_POINT('',(-1.31109399075501,2.67169645608629,3.556)); -#10146=CARTESIAN_POINT('',(-1.35962403697997,2.67169645608629,3.556)); -#10147=CARTESIAN_POINT('',(-1.40815408320493,2.67169645608629,3.556)); -#10148=CARTESIAN_POINT('',(-1.26256394453005,2.67169645608629,3.6322)); -#10149=CARTESIAN_POINT('',(-1.31109399075501,2.67169645608629,3.6322)); -#10150=CARTESIAN_POINT('',(-1.35962403697997,2.67169645608629,3.6322)); -#10151=CARTESIAN_POINT('',(-1.40815408320493,2.67169645608629,3.6322)); -#10152=CARTESIAN_POINT('',(-1.40815408320493,1.60535381933173,3.6322)); -#10153=CARTESIAN_POINT('',(-1.40815408320493,1.60535381933173,3.556)); -#10154=CARTESIAN_POINT('',(0.803876733436055,0.644392912172572,3.6322)); -#10155=CARTESIAN_POINT('',(0.803876733436055,0.644392912172572,3.556)); -#10156=CARTESIAN_POINT('',(0.948689557735337,0.644392912172572,3.6322)); -#10157=CARTESIAN_POINT('',(0.948689557735337,0.644392912172572,3.556)); -#10158=CARTESIAN_POINT('',(1.15499824729772,0.645394136917072,3.6322)); -#10159=CARTESIAN_POINT('',(1.15499824729772,0.645394136917072,3.556)); -#10160=CARTESIAN_POINT('',(1.47371868759371,0.642724204265073,3.6322)); -#10161=CARTESIAN_POINT('',(1.47371868759371,0.642724204265073,3.556)); -#10162=CARTESIAN_POINT('',(1.61956638542228,0.810042720736974,3.6322)); -#10163=CARTESIAN_POINT('',(1.61956638542228,0.810042720736974,3.556)); -#10164=CARTESIAN_POINT('',(1.63758924326212,1.03543403232077,3.6322)); -#10165=CARTESIAN_POINT('',(1.63758924326212,1.03543403232077,3.556)); -#10166=CARTESIAN_POINT('',(1.62957757705845,1.32269481598088,3.6322)); -#10167=CARTESIAN_POINT('',(1.62957757705845,1.32269481598088,3.556)); -#10168=CARTESIAN_POINT('',(1.6456076917026,1.61144262483432,3.6322)); -#10169=CARTESIAN_POINT('',(1.6456076917026,1.61144262483432,3.556)); -#10170=CARTESIAN_POINT('',(1.61354407129591,1.90567508194649,3.6322)); -#10171=CARTESIAN_POINT('',(1.61354407129591,1.90567508194649,3.556)); -#10172=CARTESIAN_POINT('',(1.40821951806368,2.12960593147705,3.6322)); -#10173=CARTESIAN_POINT('',(1.40821951806368,2.12960593147705,3.556)); -#10174=CARTESIAN_POINT('',(0.994509951960211,2.16893616626346,3.6322)); -#10175=CARTESIAN_POINT('',(0.994509951960211,2.16893616626346,3.556)); -#10176=CARTESIAN_POINT('',(0.758740961882566,1.85227965914679,3.6322)); -#10177=CARTESIAN_POINT('',(0.758740961882566,1.85227965914679,3.556)); -#10178=CARTESIAN_POINT('',(0.784725151229033,1.55163776871117,3.6322)); -#10179=CARTESIAN_POINT('',(0.784725151229033,1.55163776871117,3.556)); -#10180=CARTESIAN_POINT('',(0.780394453004622,1.4087212535547,3.6322)); -#10181=CARTESIAN_POINT('',(0.780394453004622,1.4087212535547,3.556)); -#10182=CARTESIAN_POINT('',(0.780394453004622,1.3363374422188,3.6322)); -#10183=CARTESIAN_POINT('',(0.780394453004622,1.3363374422188,3.556)); -#10184=CARTESIAN_POINT('',(0.803876733436055,0.644392912172572,3.556)); -#10185=CARTESIAN_POINT('',(0.975558294812532,0.644392912172572,3.556)); -#10186=CARTESIAN_POINT('',(1.14723985618901,0.644392912172572,3.556)); -#10187=CARTESIAN_POINT('',(1.31892141756549,0.644392912172572,3.556)); -#10188=CARTESIAN_POINT('',(1.44102927580894,0.644392912172572,3.556)); -#10189=CARTESIAN_POINT('',(1.52869645608629,0.691357473035439,3.556)); -#10190=CARTESIAN_POINT('',(1.58192295839753,0.786852080123267,3.556)); -#10191=CARTESIAN_POINT('',(1.61605034669511,0.848080629715975,3.556)); -#10192=CARTESIAN_POINT('',(1.63358397534669,0.918352850539291,3.556)); -#10193=CARTESIAN_POINT('',(1.63358397534669,0.998192604006163,3.556)); -#10194=CARTESIAN_POINT('',(1.63358397534669,1.23458089368259,3.556)); -#10195=CARTESIAN_POINT('',(1.63358397534669,1.47096918335901,3.556)); -#10196=CARTESIAN_POINT('',(1.63358397534669,1.70735747303544,3.556)); -#10197=CARTESIAN_POINT('',(1.63358397534669,1.82789984591679,3.556)); -#10198=CARTESIAN_POINT('',(1.5928813559322,1.92809090909091,3.556)); -#10199=CARTESIAN_POINT('',(1.50834514637904,2.00949614791988,3.556)); -#10200=CARTESIAN_POINT('',(1.42540339202386,2.08936598544709,3.556)); -#10201=CARTESIAN_POINT('',(1.32205238828968,2.13003852080123,3.556)); -#10202=CARTESIAN_POINT('',(1.08253312788906,2.13003852080123,3.556)); -#10203=CARTESIAN_POINT('',(0.983907550077042,2.09090138674884,3.556)); -#10204=CARTESIAN_POINT('',(0.902502311248074,2.01419260400616,3.556)); -#10205=CARTESIAN_POINT('',(0.820315709695772,1.9367475371588,3.556)); -#10206=CARTESIAN_POINT('',(0.780394453004622,1.83885824345146,3.556)); -#10207=CARTESIAN_POINT('',(0.780394453004622,1.7214468412943,3.556)); -#10208=CARTESIAN_POINT('',(0.780394453004622,1.59307704160246,3.556)); -#10209=CARTESIAN_POINT('',(0.780394453004622,1.46470724191063,3.556)); -#10210=CARTESIAN_POINT('',(0.780394453004622,1.3363374422188,3.556)); -#10211=CARTESIAN_POINT('',(0.803876733436055,0.644392912172572,3.556)); -#10212=CARTESIAN_POINT('',(0.780394453004622,1.3363374422188,3.556)); -#10213=CARTESIAN_POINT('',(0.803876733436055,0.644392912172572,3.6322)); -#10214=CARTESIAN_POINT('',(0.803876733436055,0.644392912172572,3.6322)); -#10215=CARTESIAN_POINT('',(0.803876733436055,0.644392912172572,3.6322)); -#10216=CARTESIAN_POINT('',(0.975558294812532,0.644392912172572,3.6322)); -#10217=CARTESIAN_POINT('',(1.14723985618901,0.644392912172572,3.6322)); -#10218=CARTESIAN_POINT('',(1.31892141756549,0.644392912172572,3.6322)); -#10219=CARTESIAN_POINT('',(1.44102927580894,0.644392912172572,3.6322)); -#10220=CARTESIAN_POINT('',(1.52869645608629,0.691357473035439,3.6322)); -#10221=CARTESIAN_POINT('',(1.58192295839753,0.786852080123267,3.6322)); -#10222=CARTESIAN_POINT('',(1.61605034669511,0.848080629715975,3.6322)); -#10223=CARTESIAN_POINT('',(1.63358397534669,0.918352850539291,3.6322)); -#10224=CARTESIAN_POINT('',(1.63358397534669,0.998192604006163,3.6322)); -#10225=CARTESIAN_POINT('',(1.63358397534669,1.23458089368259,3.6322)); -#10226=CARTESIAN_POINT('',(1.63358397534669,1.47096918335901,3.6322)); -#10227=CARTESIAN_POINT('',(1.63358397534669,1.70735747303544,3.6322)); -#10228=CARTESIAN_POINT('',(1.63358397534669,1.82789984591679,3.6322)); -#10229=CARTESIAN_POINT('',(1.5928813559322,1.92809090909091,3.6322)); -#10230=CARTESIAN_POINT('',(1.50834514637904,2.00949614791988,3.6322)); -#10231=CARTESIAN_POINT('',(1.42540339202386,2.08936598544709,3.6322)); -#10232=CARTESIAN_POINT('',(1.32205238828968,2.13003852080123,3.6322)); -#10233=CARTESIAN_POINT('',(1.08253312788906,2.13003852080123,3.6322)); -#10234=CARTESIAN_POINT('',(0.983907550077042,2.09090138674884,3.6322)); -#10235=CARTESIAN_POINT('',(0.902502311248074,2.01419260400616,3.6322)); -#10236=CARTESIAN_POINT('',(0.820315709695772,1.9367475371588,3.6322)); -#10237=CARTESIAN_POINT('',(0.780394453004622,1.83885824345146,3.6322)); -#10238=CARTESIAN_POINT('',(0.780394453004622,1.7214468412943,3.6322)); -#10239=CARTESIAN_POINT('',(0.780394453004622,1.59307704160246,3.6322)); -#10240=CARTESIAN_POINT('',(0.780394453004622,1.46470724191063,3.6322)); -#10241=CARTESIAN_POINT('',(0.780394453004622,1.3363374422188,3.6322)); -#10242=CARTESIAN_POINT('',(0.780394453004622,1.3363374422188,3.6322)); -#10243=CARTESIAN_POINT('',(0.780394453004622,1.3363374422188,3.6322)); -#10244=CARTESIAN_POINT('',(0.780394453004622,1.3363374422188,3.6322)); -#10245=CARTESIAN_POINT('',(0.780394453004622,1.3363374422188,3.556)); -#10246=CARTESIAN_POINT('',(1.01626091422702,1.3363374422188,3.556)); -#10247=CARTESIAN_POINT('',(1.25212737544941,1.3363374422188,3.556)); -#10248=CARTESIAN_POINT('',(1.4879938366718,1.3363374422188,3.556)); -#10249=CARTESIAN_POINT('',(1.4879938366718,1.3363374422188,3.556)); -#10250=CARTESIAN_POINT('',(0.780394453004622,1.3363374422188,3.6322)); -#10251=CARTESIAN_POINT('',(1.01626091422702,1.3363374422188,3.6322)); -#10252=CARTESIAN_POINT('',(1.25212737544941,1.3363374422188,3.6322)); -#10253=CARTESIAN_POINT('',(1.4879938366718,1.3363374422188,3.6322)); -#10254=CARTESIAN_POINT('',(1.4879938366718,1.3363374422188,3.6322)); -#10255=CARTESIAN_POINT('',(1.4879938366718,1.3363374422188,3.6322)); -#10256=CARTESIAN_POINT('',(1.4879938366718,1.3363374422188,3.6322)); -#10257=CARTESIAN_POINT('',(1.4879938366718,1.3363374422188,3.556)); -#10258=CARTESIAN_POINT('',(1.4879938366718,1.2409475998782,3.6322)); -#10259=CARTESIAN_POINT('',(1.4879938366718,1.2409475998782,3.556)); -#10260=CARTESIAN_POINT('',(1.49145665573233,1.10729616902361,3.6322)); -#10261=CARTESIAN_POINT('',(1.49145665573233,1.10729616902361,3.556)); -#10262=CARTESIAN_POINT('',(1.48222247157093,0.891360930592245,3.6322)); -#10263=CARTESIAN_POINT('',(1.48222247157093,0.891360930592245,3.556)); -#10264=CARTESIAN_POINT('',(1.39549207073298,0.799953253315542,3.6322)); -#10265=CARTESIAN_POINT('',(1.39549207073298,0.799953253315542,3.556)); -#10266=CARTESIAN_POINT('',(1.22805560532257,0.78947211549693,3.6322)); -#10267=CARTESIAN_POINT('',(1.22805560532257,0.78947211549693,3.556)); -#10268=CARTESIAN_POINT('',(1.04540586225493,0.794034600191096,3.6322)); -#10269=CARTESIAN_POINT('',(1.04540586225493,0.794034600191096,3.556)); -#10270=CARTESIAN_POINT('',(0.899265900025413,0.791548536209554,3.6322)); -#10271=CARTESIAN_POINT('',(0.899265900025413,0.791548536209554,3.556)); -#10272=CARTESIAN_POINT('',(0.803876733436055,0.791548536209554,3.6322)); -#10273=CARTESIAN_POINT('',(0.803876733436055,0.791548536209554,3.556)); -#10274=CARTESIAN_POINT('',(1.4879938366718,1.3363374422188,3.556)); -#10275=CARTESIAN_POINT('',(1.4879938366718,1.22831895223421,3.556)); -#10276=CARTESIAN_POINT('',(1.4879938366718,1.12030046224961,3.556)); -#10277=CARTESIAN_POINT('',(1.4879938366718,1.01228197226502,3.556)); -#10278=CARTESIAN_POINT('',(1.4879938366718,0.865126348228043,3.556)); -#10279=CARTESIAN_POINT('',(1.41128505392912,0.789983050847457,3.556)); -#10280=CARTESIAN_POINT('',(1.25943297380585,0.791548536209554,3.556)); -#10281=CARTESIAN_POINT('',(1.10759703099185,0.793113855207637,3.556)); -#10282=CARTESIAN_POINT('',(0.955728813559322,0.791548536209554,3.556)); -#10283=CARTESIAN_POINT('',(0.803876733436055,0.791548536209554,3.556)); -#10284=CARTESIAN_POINT('',(0.803876733436055,0.791548536209554,3.556)); -#10285=CARTESIAN_POINT('',(1.4879938366718,1.3363374422188,3.6322)); -#10286=CARTESIAN_POINT('',(1.4879938366718,1.22831895223421,3.6322)); -#10287=CARTESIAN_POINT('',(1.4879938366718,1.12030046224961,3.6322)); -#10288=CARTESIAN_POINT('',(1.4879938366718,1.01228197226502,3.6322)); -#10289=CARTESIAN_POINT('',(1.4879938366718,0.865126348228043,3.6322)); -#10290=CARTESIAN_POINT('',(1.41128505392912,0.789983050847457,3.6322)); -#10291=CARTESIAN_POINT('',(1.25943297380585,0.791548536209554,3.6322)); -#10292=CARTESIAN_POINT('',(1.10759703099185,0.793113855207637,3.6322)); -#10293=CARTESIAN_POINT('',(0.955728813559322,0.791548536209554,3.6322)); -#10294=CARTESIAN_POINT('',(0.803876733436055,0.791548536209554,3.6322)); -#10295=CARTESIAN_POINT('',(0.803876733436055,0.791548536209554,3.6322)); -#10296=CARTESIAN_POINT('',(0.803876733436055,0.791548536209554,3.6322)); -#10297=CARTESIAN_POINT('',(1.4879938366718,1.4772311248074,3.6322)); -#10298=CARTESIAN_POINT('',(1.4879938366718,1.4772311248074,3.556)); -#10299=CARTESIAN_POINT('',(1.3011792501284,1.4772311248074,3.556)); -#10300=CARTESIAN_POINT('',(1.114364663585,1.4772311248074,3.556)); -#10301=CARTESIAN_POINT('',(0.927550077041602,1.4772311248074,3.556)); -#10302=CARTESIAN_POINT('',(1.4879938366718,1.4772311248074,3.556)); -#10303=CARTESIAN_POINT('',(0.927550077041602,1.4772311248074,3.556)); -#10304=CARTESIAN_POINT('',(1.4879938366718,1.4772311248074,3.6322)); -#10305=CARTESIAN_POINT('',(1.4879938366718,1.4772311248074,3.6322)); -#10306=CARTESIAN_POINT('',(1.4879938366718,1.4772311248074,3.6322)); -#10307=CARTESIAN_POINT('',(1.3011792501284,1.4772311248074,3.6322)); -#10308=CARTESIAN_POINT('',(1.114364663585,1.4772311248074,3.6322)); -#10309=CARTESIAN_POINT('',(0.927550077041602,1.4772311248074,3.6322)); -#10310=CARTESIAN_POINT('',(0.927550077041602,1.4772311248074,3.6322)); -#10311=CARTESIAN_POINT('',(0.927550077041602,1.4772311248074,3.6322)); -#10312=CARTESIAN_POINT('',(0.927550077041602,1.4772311248074,3.6322)); -#10313=CARTESIAN_POINT('',(0.927550077041602,1.4772311248074,3.556)); -#10314=CARTESIAN_POINT('',(0.927550077041602,1.54872162300976,3.556)); -#10315=CARTESIAN_POINT('',(0.927550077041602,1.62021212121212,3.556)); -#10316=CARTESIAN_POINT('',(0.927550077041602,1.69170261941448,3.556)); -#10317=CARTESIAN_POINT('',(0.927550077041602,1.69170261941448,3.556)); -#10318=CARTESIAN_POINT('',(0.927550077041602,1.4772311248074,3.6322)); -#10319=CARTESIAN_POINT('',(0.927550077041602,1.54872162300976,3.6322)); -#10320=CARTESIAN_POINT('',(0.927550077041602,1.62021212121212,3.6322)); -#10321=CARTESIAN_POINT('',(0.927550077041602,1.69170261941448,3.6322)); -#10322=CARTESIAN_POINT('',(0.927550077041602,1.69170261941448,3.6322)); -#10323=CARTESIAN_POINT('',(0.927550077041602,1.69170261941448,3.6322)); -#10324=CARTESIAN_POINT('',(0.927550077041602,1.69170261941448,3.6322)); -#10325=CARTESIAN_POINT('',(0.927550077041602,1.69170261941448,3.556)); -#10326=CARTESIAN_POINT('',(0.927550077041602,1.79591912149461,3.6322)); -#10327=CARTESIAN_POINT('',(0.927550077041602,1.79591912149461,3.556)); -#10328=CARTESIAN_POINT('',(0.998077037488838,1.97361945634284,3.6322)); -#10329=CARTESIAN_POINT('',(0.998077037488838,1.97361945634284,3.556)); -#10330=CARTESIAN_POINT('',(1.33435071828504,2.0108414790387,3.6322)); -#10331=CARTESIAN_POINT('',(1.33435071828504,2.0108414790387,3.556)); -#10332=CARTESIAN_POINT('',(1.52153571083431,1.77698567873243,3.6322)); -#10333=CARTESIAN_POINT('',(1.52153571083431,1.77698567873243,3.556)); -#10334=CARTESIAN_POINT('',(1.4879938366718,1.57117149992779,3.6322)); -#10335=CARTESIAN_POINT('',(1.4879938366718,1.57117149992779,3.556)); -#10336=CARTESIAN_POINT('',(1.4879938366718,1.4772311248074,3.6322)); -#10337=CARTESIAN_POINT('',(1.4879938366718,1.4772311248074,3.556)); -#10338=CARTESIAN_POINT('',(0.927550077041602,1.69170261941448,3.556)); -#10339=CARTESIAN_POINT('',(0.927550077041602,1.77623882896764,3.556)); -#10340=CARTESIAN_POINT('',(0.951032357473036,1.84668567026194,3.556)); -#10341=CARTESIAN_POINT('',(1.04809244992296,1.95626964560863,3.556)); -#10342=CARTESIAN_POINT('',(1.11540832049307,1.98444838212635,3.556)); -#10343=CARTESIAN_POINT('',(1.27039137134052,1.98444838212635,3.556)); -#10344=CARTESIAN_POINT('',(1.33144530046225,1.96409707241911,3.556)); -#10345=CARTESIAN_POINT('',(1.3846718027735,1.92495993836672,3.556)); -#10346=CARTESIAN_POINT('',(1.45478374798528,1.8734070374757,3.556)); -#10347=CARTESIAN_POINT('',(1.4879938366718,1.79502465331279,3.556)); -#10348=CARTESIAN_POINT('',(1.4879938366718,1.69483359013867,3.556)); -#10349=CARTESIAN_POINT('',(1.4879938366718,1.62229943502825,3.556)); -#10350=CARTESIAN_POINT('',(1.4879938366718,1.54976527991782,3.556)); -#10351=CARTESIAN_POINT('',(1.4879938366718,1.4772311248074,3.556)); -#10352=CARTESIAN_POINT('',(0.927550077041602,1.69170261941448,3.6322)); -#10353=CARTESIAN_POINT('',(0.927550077041602,1.77623882896764,3.6322)); -#10354=CARTESIAN_POINT('',(0.951032357473036,1.84668567026194,3.6322)); -#10355=CARTESIAN_POINT('',(1.04809244992296,1.95626964560863,3.6322)); -#10356=CARTESIAN_POINT('',(1.11540832049307,1.98444838212635,3.6322)); -#10357=CARTESIAN_POINT('',(1.27039137134052,1.98444838212635,3.6322)); -#10358=CARTESIAN_POINT('',(1.33144530046225,1.96409707241911,3.6322)); -#10359=CARTESIAN_POINT('',(1.3846718027735,1.92495993836672,3.6322)); -#10360=CARTESIAN_POINT('',(1.45478374798528,1.8734070374757,3.6322)); -#10361=CARTESIAN_POINT('',(1.4879938366718,1.79502465331279,3.6322)); -#10362=CARTESIAN_POINT('',(1.4879938366718,1.69483359013867,3.6322)); -#10363=CARTESIAN_POINT('',(1.4879938366718,1.62229943502825,3.6322)); -#10364=CARTESIAN_POINT('',(1.4879938366718,1.54976527991782,3.6322)); -#10365=CARTESIAN_POINT('',(1.4879938366718,1.4772311248074,3.6322)); -#10366=CARTESIAN_POINT('',(0.803876733436055,0.791548536209554,3.6322)); -#10367=CARTESIAN_POINT('',(0.803876733436055,0.791548536209554,3.556)); -#10368=CARTESIAN_POINT('',(0.803876733436055,0.74249666153056,3.556)); -#10369=CARTESIAN_POINT('',(0.803876733436055,0.693444786851566,3.556)); -#10370=CARTESIAN_POINT('',(0.803876733436055,0.644392912172572,3.556)); -#10371=CARTESIAN_POINT('',(0.803876733436055,0.791548536209554,3.6322)); -#10372=CARTESIAN_POINT('',(0.803876733436055,0.74249666153056,3.6322)); -#10373=CARTESIAN_POINT('',(0.803876733436055,0.693444786851566,3.6322)); -#10374=CARTESIAN_POINT('',(0.803876733436055,0.644392912172572,3.6322)); -#10375=CARTESIAN_POINT('',(1.63358397534669,1.68545779313392,3.6322)); -#10376=CARTESIAN_POINT('',(1.63358397534669,1.68545779313392,3.556)); -#10377=CARTESIAN_POINT('',(2.12676416741376,0.850582815825913,3.6322)); -#10378=CARTESIAN_POINT('',(2.12676416741376,0.850582815825913,3.556)); -#10379=CARTESIAN_POINT('',(2.06299102961338,1.1060047943597,3.6322)); -#10380=CARTESIAN_POINT('',(2.06299102961338,1.1060047943597,3.556)); -#10381=CARTESIAN_POINT('',(2.0844699358832,1.36783867965856,3.6322)); -#10382=CARTESIAN_POINT('',(2.0844699358832,1.36783867965856,3.556)); -#10383=CARTESIAN_POINT('',(2.0689395342181,1.62638806773875,3.6322)); -#10384=CARTESIAN_POINT('',(2.0689395342181,1.62638806773875,3.556)); -#10385=CARTESIAN_POINT('',(2.10520367944657,1.88201976204356,3.6322)); -#10386=CARTESIAN_POINT('',(2.10520367944657,1.88201976204356,3.556)); -#10387=CARTESIAN_POINT('',(2.2991698357128,2.01339124415399,3.6322)); -#10388=CARTESIAN_POINT('',(2.2991698357128,2.01339124415399,3.556)); -#10389=CARTESIAN_POINT('',(2.56645231711153,1.94271597020185,3.6322)); -#10390=CARTESIAN_POINT('',(2.56645231711153,1.94271597020185,3.556)); -#10391=CARTESIAN_POINT('',(2.65947445507342,1.68658266086617,3.6322)); -#10392=CARTESIAN_POINT('',(2.65947445507342,1.68658266086617,3.556)); -#10393=CARTESIAN_POINT('',(2.63177863787249,1.4029461387404,3.6322)); -#10394=CARTESIAN_POINT('',(2.63177863787249,1.4029461387404,3.556)); -#10395=CARTESIAN_POINT('',(2.64317498516112,1.17849748591638,3.6322)); -#10396=CARTESIAN_POINT('',(2.64317498516112,1.17849748591638,3.556)); -#10397=CARTESIAN_POINT('',(2.63160988344418,0.977792369099365,3.6322)); -#10398=CARTESIAN_POINT('',(2.63160988344418,0.977792369099365,3.556)); -#10399=CARTESIAN_POINT('',(2.54304565000612,0.843412559074633,3.6322)); -#10400=CARTESIAN_POINT('',(2.54304565000612,0.843412559074633,3.556)); -#10401=CARTESIAN_POINT('',(2.34120038786794,0.745665842775856,3.6322)); -#10402=CARTESIAN_POINT('',(2.34120038786794,0.745665842775856,3.556)); -#10403=CARTESIAN_POINT('',(2.12676416741376,0.850582815825913,3.6322)); -#10404=CARTESIAN_POINT('',(2.12676416741376,0.850582815825913,3.556)); -#10405=CARTESIAN_POINT('',(2.06299102961338,1.1060047943597,3.6322)); -#10406=CARTESIAN_POINT('',(2.06299102961338,1.1060047943597,3.556)); -#10407=CARTESIAN_POINT('',(2.0844699358832,1.36783867965856,3.6322)); -#10408=CARTESIAN_POINT('',(2.0844699358832,1.36783867965856,3.556)); -#10409=CARTESIAN_POINT('',(2.07818181818182,1.09994915254237,3.6322)); -#10410=CARTESIAN_POINT('',(2.07818181818182,1.28780739599384,3.6322)); -#10411=CARTESIAN_POINT('',(2.07818181818182,1.4756656394453,3.6322)); -#10412=CARTESIAN_POINT('',(2.07818181818182,1.66352388289676,3.6322)); -#10413=CARTESIAN_POINT('',(2.07818181818182,1.74962557781202,3.6322)); -#10414=CARTESIAN_POINT('',(2.10009861325116,1.82320338983051,3.6322)); -#10415=CARTESIAN_POINT('',(2.14549768875193,1.88269183359014,3.6322)); -#10416=CARTESIAN_POINT('',(2.19640028456504,1.94939178672457,3.6322)); -#10417=CARTESIAN_POINT('',(2.26290909090909,1.98444838212635,3.6322)); -#10418=CARTESIAN_POINT('',(2.41789214175655,1.98444838212635,3.6322)); -#10419=CARTESIAN_POINT('',(2.48207704160247,1.95940061633282,3.6322)); -#10420=CARTESIAN_POINT('',(2.53686902927581,1.91243605546995,3.6322)); -#10421=CARTESIAN_POINT('',(2.60584247258225,1.85331596120729,3.6322)); -#10422=CARTESIAN_POINT('',(2.63862557781202,1.76997688751926,3.6322)); -#10423=CARTESIAN_POINT('',(2.63862557781202,1.66665485362096,3.6322)); -#10424=CARTESIAN_POINT('',(2.63862557781202,1.47775295326143,3.6322)); -#10425=CARTESIAN_POINT('',(2.63862557781202,1.2888510529019,3.6322)); -#10426=CARTESIAN_POINT('',(2.63862557781202,1.09994915254237,3.6322)); -#10427=CARTESIAN_POINT('',(2.63862557781202,0.999758089368259,3.6322)); -#10428=CARTESIAN_POINT('',(2.60418489984592,0.918352850539291,3.6322)); -#10429=CARTESIAN_POINT('',(2.53530354391371,0.858864406779661,3.6322)); -#10430=CARTESIAN_POINT('',(2.47657097947685,0.808140828402367,3.6322)); -#10431=CARTESIAN_POINT('',(2.41476117103236,0.780590138674885,3.6322)); -#10432=CARTESIAN_POINT('',(2.26604006163328,0.780590138674885,3.6322)); -#10433=CARTESIAN_POINT('',(2.19872419106317,0.815030816640985,3.6322)); -#10434=CARTESIAN_POINT('',(2.14549768875193,0.882346687211093,3.6322)); -#10435=CARTESIAN_POINT('',(2.09985219908268,0.94007480649867,3.6322)); -#10436=CARTESIAN_POINT('',(2.07818181818182,1.01228197226502,3.6322)); -#10437=CARTESIAN_POINT('',(2.07818181818182,1.09994915254237,3.6322)); -#10438=CARTESIAN_POINT('',(2.07818181818182,1.09994915254237,3.6322)); -#10439=CARTESIAN_POINT('',(2.07818181818182,1.09994915254237,3.556)); -#10440=CARTESIAN_POINT('',(2.07818181818182,1.28780739599384,3.556)); -#10441=CARTESIAN_POINT('',(2.07818181818182,1.4756656394453,3.556)); -#10442=CARTESIAN_POINT('',(2.07818181818182,1.66352388289676,3.556)); -#10443=CARTESIAN_POINT('',(2.07818181818182,1.74962557781202,3.556)); -#10444=CARTESIAN_POINT('',(2.10009861325116,1.82320338983051,3.556)); -#10445=CARTESIAN_POINT('',(2.14549768875193,1.88269183359014,3.556)); -#10446=CARTESIAN_POINT('',(2.19640028456504,1.94939178672457,3.556)); -#10447=CARTESIAN_POINT('',(2.26290909090909,1.98444838212635,3.556)); -#10448=CARTESIAN_POINT('',(2.41789214175655,1.98444838212635,3.556)); -#10449=CARTESIAN_POINT('',(2.48207704160247,1.95940061633282,3.556)); -#10450=CARTESIAN_POINT('',(2.53686902927581,1.91243605546995,3.556)); -#10451=CARTESIAN_POINT('',(2.60584247258225,1.85331596120729,3.556)); -#10452=CARTESIAN_POINT('',(2.63862557781202,1.76997688751926,3.556)); -#10453=CARTESIAN_POINT('',(2.63862557781202,1.66665485362096,3.556)); -#10454=CARTESIAN_POINT('',(2.63862557781202,1.47775295326143,3.556)); -#10455=CARTESIAN_POINT('',(2.63862557781202,1.2888510529019,3.556)); -#10456=CARTESIAN_POINT('',(2.63862557781202,1.09994915254237,3.556)); -#10457=CARTESIAN_POINT('',(2.63862557781202,0.999758089368259,3.556)); -#10458=CARTESIAN_POINT('',(2.60418489984592,0.918352850539291,3.556)); -#10459=CARTESIAN_POINT('',(2.53530354391371,0.858864406779661,3.556)); -#10460=CARTESIAN_POINT('',(2.47657097947685,0.808140828402367,3.556)); -#10461=CARTESIAN_POINT('',(2.41476117103236,0.780590138674885,3.556)); -#10462=CARTESIAN_POINT('',(2.26604006163328,0.780590138674885,3.556)); -#10463=CARTESIAN_POINT('',(2.19872419106317,0.815030816640985,3.556)); -#10464=CARTESIAN_POINT('',(2.14549768875193,0.882346687211093,3.556)); -#10465=CARTESIAN_POINT('',(2.09985219908268,0.94007480649867,3.556)); -#10466=CARTESIAN_POINT('',(2.07818181818182,1.01228197226502,3.556)); -#10467=CARTESIAN_POINT('',(2.07818181818182,1.09994915254237,3.556)); -#10468=CARTESIAN_POINT('',(2.07818181818182,1.09994915254237,3.556)); -#10469=CARTESIAN_POINT('',(1.94464011232161,1.4053595873757,3.6322)); -#10470=CARTESIAN_POINT('',(1.94464011232161,1.4053595873757,3.556)); -#10471=CARTESIAN_POINT('',(1.91450177053222,1.10074593864462,3.6322)); -#10472=CARTESIAN_POINT('',(1.91450177053222,1.10074593864462,3.556)); -#10473=CARTESIAN_POINT('',(1.96943181363209,0.829343258571498,3.6322)); -#10474=CARTESIAN_POINT('',(1.96943181363209,0.829343258571498,3.556)); -#10475=CARTESIAN_POINT('',(2.2183033723084,0.597221272932376,3.6322)); -#10476=CARTESIAN_POINT('',(2.2183033723084,0.597221272932376,3.556)); -#10477=CARTESIAN_POINT('',(2.55705930191386,0.63395092492761,3.6322)); -#10478=CARTESIAN_POINT('',(2.55705930191386,0.63395092492761,3.556)); -#10479=CARTESIAN_POINT('',(2.75380906417617,0.871942648182661,3.6322)); -#10480=CARTESIAN_POINT('',(2.75380906417617,0.871942648182661,3.556)); -#10481=CARTESIAN_POINT('',(2.79782340397599,1.08812982263072,3.6322)); -#10482=CARTESIAN_POINT('',(2.79782340397599,1.08812982263072,3.556)); -#10483=CARTESIAN_POINT('',(2.77844767436813,1.3583500474049,3.6322)); -#10484=CARTESIAN_POINT('',(2.77844767436813,1.3583500474049,3.556)); -#10485=CARTESIAN_POINT('',(2.78908146406585,1.61732929659221,3.6322)); -#10486=CARTESIAN_POINT('',(2.78908146406585,1.61732929659221,3.556)); -#10487=CARTESIAN_POINT('',(2.77540941200823,1.84234626356462,3.6322)); -#10488=CARTESIAN_POINT('',(2.77540941200823,1.84234626356462,3.556)); -#10489=CARTESIAN_POINT('',(2.62946078083438,2.08913272673338,3.6322)); -#10490=CARTESIAN_POINT('',(2.62946078083438,2.08913272673338,3.556)); -#10491=CARTESIAN_POINT('',(2.18762368002851,2.21429764892893,3.6322)); -#10492=CARTESIAN_POINT('',(2.18762368002851,2.21429764892893,3.556)); -#10493=CARTESIAN_POINT('',(1.88893538863066,1.80410229154957,3.6322)); -#10494=CARTESIAN_POINT('',(1.88893538863066,1.80410229154957,3.556)); -#10495=CARTESIAN_POINT('',(1.94464011232161,1.4053595873757,3.6322)); -#10496=CARTESIAN_POINT('',(1.94464011232161,1.4053595873757,3.556)); -#10497=CARTESIAN_POINT('',(1.91450177053222,1.10074593864462,3.6322)); -#10498=CARTESIAN_POINT('',(1.91450177053222,1.10074593864462,3.556)); -#10499=CARTESIAN_POINT('',(1.96943181363209,0.829343258571498,3.6322)); -#10500=CARTESIAN_POINT('',(1.96943181363209,0.829343258571498,3.556)); -#10501=CARTESIAN_POINT('',(1.93102619414484,1.06863944530046,3.6322)); -#10502=CARTESIAN_POINT('',(1.93102619414484,0.949662557781202,3.6322)); -#10503=CARTESIAN_POINT('',(1.97172881355932,0.846340523882896,3.6322)); -#10504=CARTESIAN_POINT('',(2.13453929121726,0.67726810477658,3.6322)); -#10505=CARTESIAN_POINT('',(2.23473035439137,0.635,3.6322)); -#10506=CARTESIAN_POINT('',(2.4711186440678,0.635,3.6322)); -#10507=CARTESIAN_POINT('',(2.57287519260401,0.678833590138674,3.6322)); -#10508=CARTESIAN_POINT('',(2.65741140215716,0.76493528505393,3.6322)); -#10509=CARTESIAN_POINT('',(2.74273022263869,0.85183408369252,3.6322)); -#10510=CARTESIAN_POINT('',(2.7842157164869,0.954359013867487,3.6322)); -#10511=CARTESIAN_POINT('',(2.7842157164869,1.07177041602465,3.6322)); -#10512=CARTESIAN_POINT('',(2.7842157164869,1.2789363122753,3.6322)); -#10513=CARTESIAN_POINT('',(2.7842157164869,1.48610220852594,3.6322)); -#10514=CARTESIAN_POINT('',(2.7842157164869,1.69326810477658,3.6322)); -#10515=CARTESIAN_POINT('',(2.7842157164869,1.81381047765793,3.6322)); -#10516=CARTESIAN_POINT('',(2.74351309707242,1.91713251155624,3.6322)); -#10517=CARTESIAN_POINT('',(2.66054237288136,2.00323420647149,3.6322)); -#10518=CARTESIAN_POINT('',(2.57835385469484,2.08852417817449,3.6322)); -#10519=CARTESIAN_POINT('',(2.47581510015408,2.13003852080123,3.6322)); -#10520=CARTESIAN_POINT('',(2.23473035439137,2.13003852080123,3.6322)); -#10521=CARTESIAN_POINT('',(2.13453929121726,2.08777041602465,3.6322)); -#10522=CARTESIAN_POINT('',(1.97172881355932,1.91556702619414,3.6322)); -#10523=CARTESIAN_POINT('',(1.93102619414484,1.81224499229584,3.6322)); -#10524=CARTESIAN_POINT('',(1.93102619414484,1.69326810477658,3.6322)); -#10525=CARTESIAN_POINT('',(1.93102619414484,1.48505855161787,3.6322)); -#10526=CARTESIAN_POINT('',(1.93102619414484,1.27684899845917,3.6322)); -#10527=CARTESIAN_POINT('',(1.93102619414484,1.06863944530046,3.6322)); -#10528=CARTESIAN_POINT('',(1.93102619414484,1.06863944530046,3.6322)); -#10529=CARTESIAN_POINT('',(1.93102619414484,1.06863944530046,3.556)); -#10530=CARTESIAN_POINT('',(1.93102619414484,0.949662557781202,3.556)); -#10531=CARTESIAN_POINT('',(1.97172881355932,0.846340523882896,3.556)); -#10532=CARTESIAN_POINT('',(2.13453929121726,0.67726810477658,3.556)); -#10533=CARTESIAN_POINT('',(2.23473035439137,0.635,3.556)); -#10534=CARTESIAN_POINT('',(2.4711186440678,0.635,3.556)); -#10535=CARTESIAN_POINT('',(2.57287519260401,0.678833590138674,3.556)); -#10536=CARTESIAN_POINT('',(2.65741140215716,0.76493528505393,3.556)); -#10537=CARTESIAN_POINT('',(2.74273022263869,0.85183408369252,3.556)); -#10538=CARTESIAN_POINT('',(2.7842157164869,0.954359013867487,3.556)); -#10539=CARTESIAN_POINT('',(2.7842157164869,1.07177041602465,3.556)); -#10540=CARTESIAN_POINT('',(2.7842157164869,1.2789363122753,3.556)); -#10541=CARTESIAN_POINT('',(2.7842157164869,1.48610220852594,3.556)); -#10542=CARTESIAN_POINT('',(2.7842157164869,1.69326810477658,3.556)); -#10543=CARTESIAN_POINT('',(2.7842157164869,1.81381047765793,3.556)); -#10544=CARTESIAN_POINT('',(2.74351309707242,1.91713251155624,3.556)); -#10545=CARTESIAN_POINT('',(2.66054237288136,2.00323420647149,3.556)); -#10546=CARTESIAN_POINT('',(2.57835385469484,2.08852417817449,3.556)); -#10547=CARTESIAN_POINT('',(2.47581510015408,2.13003852080123,3.556)); -#10548=CARTESIAN_POINT('',(2.23473035439137,2.13003852080123,3.556)); -#10549=CARTESIAN_POINT('',(2.13453929121726,2.08777041602465,3.556)); -#10550=CARTESIAN_POINT('',(1.97172881355932,1.91556702619414,3.556)); -#10551=CARTESIAN_POINT('',(1.93102619414484,1.81224499229584,3.556)); -#10552=CARTESIAN_POINT('',(1.93102619414484,1.69326810477658,3.556)); -#10553=CARTESIAN_POINT('',(1.93102619414484,1.48505855161787,3.556)); -#10554=CARTESIAN_POINT('',(1.93102619414484,1.27684899845917,3.556)); -#10555=CARTESIAN_POINT('',(1.93102619414484,1.06863944530046,3.556)); -#10556=CARTESIAN_POINT('',(1.93102619414484,1.06863944530046,3.556)); -#10557=CARTESIAN_POINT('',(2.78419474134592,1.69799843337489,3.6322)); -#10558=CARTESIAN_POINT('',(2.78419474134592,1.69799843337489,3.556)); -#10559=CARTESIAN_POINT('',(-2.61514329738059,3.31354545454545,3.6322)); -#10560=CARTESIAN_POINT('',(-2.61514329738059,3.31354545454545,3.556)); -#10561=CARTESIAN_POINT('',(-2.47027208803844,3.31354545454546,3.6322)); -#10562=CARTESIAN_POINT('',(-2.47027208803844,3.31354545454546,3.556)); -#10563=CARTESIAN_POINT('',(-2.26390011768522,3.31483680209366,3.6322)); -#10564=CARTESIAN_POINT('',(-2.26390011768522,3.31483680209366,3.556)); -#10565=CARTESIAN_POINT('',(-1.94499811590761,3.31139320863178,3.6322)); -#10566=CARTESIAN_POINT('',(-1.94499811590761,3.31139320863178,3.556)); -#10567=CARTESIAN_POINT('',(-1.7983108030068,3.4780016963544,3.6322)); -#10568=CARTESIAN_POINT('',(-1.7983108030068,3.4780016963544,3.556)); -#10569=CARTESIAN_POINT('',(-1.77973613201563,3.70344700839129,3.6322)); -#10570=CARTESIAN_POINT('',(-1.77973613201563,3.70344700839129,3.556)); -#10571=CARTESIAN_POINT('',(-1.78804540876524,3.99087523158365,3.6322)); -#10572=CARTESIAN_POINT('',(-1.78804540876524,3.99087523158365,3.556)); -#10573=CARTESIAN_POINT('',(-1.77118445187513,4.27947767268389,3.6322)); -#10574=CARTESIAN_POINT('',(-1.77118445187513,4.27947767268389,3.556)); -#10575=CARTESIAN_POINT('',(-1.80502756735079,4.57443160470309,3.6322)); -#10576=CARTESIAN_POINT('',(-1.80502756735079,4.57443160470309,3.556)); -#10577=CARTESIAN_POINT('',(-2.00638480548408,4.79798700024972,3.6322)); -#10578=CARTESIAN_POINT('',(-2.00638480548408,4.79798700024972,3.556)); -#10579=CARTESIAN_POINT('',(-2.42133686892209,4.83987887529634,3.6322)); -#10580=CARTESIAN_POINT('',(-2.42133686892209,4.83987887529634,3.556)); -#10581=CARTESIAN_POINT('',(-2.65876846067315,4.52156770993831,3.6322)); -#10582=CARTESIAN_POINT('',(-2.65876846067315,4.52156770993831,3.556)); -#10583=CARTESIAN_POINT('',(-2.63271841880528,4.22098725657607,3.6322)); -#10584=CARTESIAN_POINT('',(-2.63271841880528,4.22098725657607,3.556)); -#10585=CARTESIAN_POINT('',(-2.63706009244992,4.07792471574025,3.6322)); -#10586=CARTESIAN_POINT('',(-2.63706009244992,4.07792471574025,3.556)); -#10587=CARTESIAN_POINT('',(-2.63706009244992,4.00548998459168,3.6322)); -#10588=CARTESIAN_POINT('',(-2.63706009244992,4.00548998459168,3.556)); -#10589=CARTESIAN_POINT('',(-2.61514329738059,3.31354545454545,3.556)); -#10590=CARTESIAN_POINT('',(-2.44293990755008,3.31354545454545,3.556)); -#10591=CARTESIAN_POINT('',(-2.27073651771957,3.31354545454545,3.556)); -#10592=CARTESIAN_POINT('',(-2.09853312788906,3.31354545454545,3.556)); -#10593=CARTESIAN_POINT('',(-1.97642526964561,3.31354545454545,3.556)); -#10594=CARTESIAN_POINT('',(-1.88875808936826,3.36051001540832,3.556)); -#10595=CARTESIAN_POINT('',(-1.83553158705701,3.45600462249615,3.556)); -#10596=CARTESIAN_POINT('',(-1.80140419875944,3.51723317208886,3.556)); -#10597=CARTESIAN_POINT('',(-1.78387057010786,3.58750539291217,3.556)); -#10598=CARTESIAN_POINT('',(-1.78387057010786,3.66734514637904,3.556)); -#10599=CARTESIAN_POINT('',(-1.78387057010786,3.90373343605547,3.556)); -#10600=CARTESIAN_POINT('',(-1.78387057010786,4.14012172573189,3.556)); -#10601=CARTESIAN_POINT('',(-1.78387057010786,4.37651001540832,3.556)); -#10602=CARTESIAN_POINT('',(-1.78387057010786,4.49705238828968,3.556)); -#10603=CARTESIAN_POINT('',(-1.82613867488444,4.59724345146379,3.556)); -#10604=CARTESIAN_POINT('',(-1.9091093990755,4.67864869029276,3.556)); -#10605=CARTESIAN_POINT('',(-1.99129752256677,4.75928609447288,3.556)); -#10606=CARTESIAN_POINT('',(-2.09540215716487,4.79919106317411,3.556)); -#10607=CARTESIAN_POINT('',(-2.33492141756549,4.79919106317411,3.556)); -#10608=CARTESIAN_POINT('',(-2.4351124807396,4.76005392912173,3.556)); -#10609=CARTESIAN_POINT('',(-2.51495223420647,4.68334514637904,3.556)); -#10610=CARTESIAN_POINT('',(-2.59638814428004,4.6051028014064,3.556)); -#10611=CARTESIAN_POINT('',(-2.63706009244992,4.50801078582434,3.556)); -#10612=CARTESIAN_POINT('',(-2.63706009244992,4.39059938366718,3.556)); -#10613=CARTESIAN_POINT('',(-2.63706009244992,4.26222958397535,3.556)); -#10614=CARTESIAN_POINT('',(-2.63706009244992,4.13385978428351,3.556)); -#10615=CARTESIAN_POINT('',(-2.63706009244992,4.00548998459168,3.556)); -#10616=CARTESIAN_POINT('',(-2.61514329738059,3.31354545454545,3.556)); -#10617=CARTESIAN_POINT('',(-2.63706009244992,4.00548998459168,3.556)); -#10618=CARTESIAN_POINT('',(-2.61514329738059,3.31354545454545,3.6322)); -#10619=CARTESIAN_POINT('',(-2.61514329738059,3.31354545454545,3.6322)); -#10620=CARTESIAN_POINT('',(-2.61514329738059,3.31354545454545,3.6322)); -#10621=CARTESIAN_POINT('',(-2.44293990755008,3.31354545454545,3.6322)); -#10622=CARTESIAN_POINT('',(-2.27073651771957,3.31354545454545,3.6322)); -#10623=CARTESIAN_POINT('',(-2.09853312788906,3.31354545454545,3.6322)); -#10624=CARTESIAN_POINT('',(-1.97642526964561,3.31354545454545,3.6322)); -#10625=CARTESIAN_POINT('',(-1.88875808936826,3.36051001540832,3.6322)); -#10626=CARTESIAN_POINT('',(-1.83553158705701,3.45600462249615,3.6322)); -#10627=CARTESIAN_POINT('',(-1.80140419875944,3.51723317208886,3.6322)); -#10628=CARTESIAN_POINT('',(-1.78387057010786,3.58750539291217,3.6322)); -#10629=CARTESIAN_POINT('',(-1.78387057010786,3.66734514637904,3.6322)); -#10630=CARTESIAN_POINT('',(-1.78387057010786,3.90373343605547,3.6322)); -#10631=CARTESIAN_POINT('',(-1.78387057010786,4.14012172573189,3.6322)); -#10632=CARTESIAN_POINT('',(-1.78387057010786,4.37651001540832,3.6322)); -#10633=CARTESIAN_POINT('',(-1.78387057010786,4.49705238828968,3.6322)); -#10634=CARTESIAN_POINT('',(-1.82613867488444,4.59724345146379,3.6322)); -#10635=CARTESIAN_POINT('',(-1.9091093990755,4.67864869029276,3.6322)); -#10636=CARTESIAN_POINT('',(-1.99129752256677,4.75928609447288,3.6322)); -#10637=CARTESIAN_POINT('',(-2.09540215716487,4.79919106317411,3.6322)); -#10638=CARTESIAN_POINT('',(-2.33492141756549,4.79919106317411,3.6322)); -#10639=CARTESIAN_POINT('',(-2.4351124807396,4.76005392912173,3.6322)); -#10640=CARTESIAN_POINT('',(-2.51495223420647,4.68334514637904,3.6322)); -#10641=CARTESIAN_POINT('',(-2.59638814428004,4.6051028014064,3.6322)); -#10642=CARTESIAN_POINT('',(-2.63706009244992,4.50801078582434,3.6322)); -#10643=CARTESIAN_POINT('',(-2.63706009244992,4.39059938366718,3.6322)); -#10644=CARTESIAN_POINT('',(-2.63706009244992,4.26222958397535,3.6322)); -#10645=CARTESIAN_POINT('',(-2.63706009244992,4.13385978428351,3.6322)); -#10646=CARTESIAN_POINT('',(-2.63706009244992,4.00548998459168,3.6322)); -#10647=CARTESIAN_POINT('',(-2.63706009244992,4.00548998459168,3.6322)); -#10648=CARTESIAN_POINT('',(-2.63706009244992,4.00548998459168,3.6322)); -#10649=CARTESIAN_POINT('',(-2.63706009244992,4.00548998459168,3.6322)); -#10650=CARTESIAN_POINT('',(-2.63706009244992,4.00548998459168,3.556)); -#10651=CARTESIAN_POINT('',(-2.40171545968156,4.00548998459168,3.556)); -#10652=CARTESIAN_POINT('',(-2.1663708269132,4.00548998459168,3.556)); -#10653=CARTESIAN_POINT('',(-1.93102619414484,4.00548998459168,3.556)); -#10654=CARTESIAN_POINT('',(-1.93102619414484,4.00548998459168,3.556)); -#10655=CARTESIAN_POINT('',(-2.63706009244992,4.00548998459168,3.6322)); -#10656=CARTESIAN_POINT('',(-2.40171545968156,4.00548998459168,3.6322)); -#10657=CARTESIAN_POINT('',(-2.1663708269132,4.00548998459168,3.6322)); -#10658=CARTESIAN_POINT('',(-1.93102619414484,4.00548998459168,3.6322)); -#10659=CARTESIAN_POINT('',(-1.93102619414484,4.00548998459168,3.6322)); -#10660=CARTESIAN_POINT('',(-1.93102619414484,4.00548998459168,3.6322)); -#10661=CARTESIAN_POINT('',(-1.93102619414484,4.00548998459168,3.6322)); -#10662=CARTESIAN_POINT('',(-1.93102619414484,4.00548998459168,3.556)); -#10663=CARTESIAN_POINT('',(-1.93102619414484,3.91005564169375,3.6322)); -#10664=CARTESIAN_POINT('',(-1.93102619414484,3.91005564169375,3.556)); -#10665=CARTESIAN_POINT('',(-1.92761553282952,3.77652452874205,3.6322)); -#10666=CARTESIAN_POINT('',(-1.92761553282952,3.77652452874205,3.556)); -#10667=CARTESIAN_POINT('',(-1.93671062967037,3.56000143922568,3.6322)); -#10668=CARTESIAN_POINT('',(-1.93671062967037,3.56000143922568,3.556)); -#10669=CARTESIAN_POINT('',(-2.02303893615551,3.46863817125438,3.6322)); -#10670=CARTESIAN_POINT('',(-2.02303893615551,3.46863817125438,3.556)); -#10671=CARTESIAN_POINT('',(-2.1908224286411,3.45876447182718,3.6322)); -#10672=CARTESIAN_POINT('',(-2.1908224286411,3.45876447182718,3.556)); -#10673=CARTESIAN_POINT('',(-2.37346793353813,3.46310634621369,3.6322)); -#10674=CARTESIAN_POINT('',(-2.37346793353813,3.46310634621369,3.556)); -#10675=CARTESIAN_POINT('',(-2.51970963054915,3.46070107858243,3.6322)); -#10676=CARTESIAN_POINT('',(-2.51970963054915,3.46070107858243,3.556)); -#10677=CARTESIAN_POINT('',(-2.61514329738059,3.46070107858243,3.6322)); -#10678=CARTESIAN_POINT('',(-2.61514329738059,3.46070107858243,3.556)); -#10679=CARTESIAN_POINT('',(-1.93102619414484,4.00548998459168,3.556)); -#10680=CARTESIAN_POINT('',(-1.93102619414484,3.89747149460709,3.556)); -#10681=CARTESIAN_POINT('',(-1.93102619414484,3.7894530046225,3.556)); -#10682=CARTESIAN_POINT('',(-1.93102619414484,3.6814345146379,3.556)); -#10683=CARTESIAN_POINT('',(-1.93102619414484,3.53427889060092,3.556)); -#10684=CARTESIAN_POINT('',(-2.00616949152542,3.45913559322034,3.556)); -#10685=CARTESIAN_POINT('',(-2.15802157164869,3.46070107858243,3.556)); -#10686=CARTESIAN_POINT('',(-2.31037928746206,3.4622717766836,3.556)); -#10687=CARTESIAN_POINT('',(-2.46276938880329,3.46070107858243,3.556)); -#10688=CARTESIAN_POINT('',(-2.61514329738059,3.46070107858243,3.556)); -#10689=CARTESIAN_POINT('',(-2.61514329738059,3.46070107858243,3.556)); -#10690=CARTESIAN_POINT('',(-1.93102619414484,4.00548998459168,3.6322)); -#10691=CARTESIAN_POINT('',(-1.93102619414484,3.89747149460709,3.6322)); -#10692=CARTESIAN_POINT('',(-1.93102619414484,3.7894530046225,3.6322)); -#10693=CARTESIAN_POINT('',(-1.93102619414484,3.6814345146379,3.6322)); -#10694=CARTESIAN_POINT('',(-1.93102619414484,3.53427889060092,3.6322)); -#10695=CARTESIAN_POINT('',(-2.00616949152542,3.45913559322034,3.6322)); -#10696=CARTESIAN_POINT('',(-2.15802157164869,3.46070107858243,3.6322)); -#10697=CARTESIAN_POINT('',(-2.31037928746206,3.4622717766836,3.6322)); -#10698=CARTESIAN_POINT('',(-2.46276938880329,3.46070107858243,3.6322)); -#10699=CARTESIAN_POINT('',(-2.61514329738059,3.46070107858243,3.6322)); -#10700=CARTESIAN_POINT('',(-2.61514329738059,3.46070107858243,3.6322)); -#10701=CARTESIAN_POINT('',(-2.61514329738059,3.46070107858243,3.6322)); -#10702=CARTESIAN_POINT('',(-1.93102619414484,4.14638366718028,3.6322)); -#10703=CARTESIAN_POINT('',(-1.93102619414484,4.14638366718028,3.556)); -#10704=CARTESIAN_POINT('',(-2.11784078068824,4.14638366718028,3.556)); -#10705=CARTESIAN_POINT('',(-2.30465536723164,4.14638366718028,3.556)); -#10706=CARTESIAN_POINT('',(-2.49146995377504,4.14638366718028,3.556)); -#10707=CARTESIAN_POINT('',(-1.93102619414484,4.14638366718028,3.556)); -#10708=CARTESIAN_POINT('',(-2.49146995377504,4.14638366718028,3.556)); -#10709=CARTESIAN_POINT('',(-1.93102619414484,4.14638366718028,3.6322)); -#10710=CARTESIAN_POINT('',(-1.93102619414484,4.14638366718028,3.6322)); -#10711=CARTESIAN_POINT('',(-1.93102619414484,4.14638366718028,3.6322)); -#10712=CARTESIAN_POINT('',(-2.11784078068824,4.14638366718028,3.6322)); -#10713=CARTESIAN_POINT('',(-2.30465536723164,4.14638366718028,3.6322)); -#10714=CARTESIAN_POINT('',(-2.49146995377504,4.14638366718028,3.6322)); -#10715=CARTESIAN_POINT('',(-2.49146995377504,4.14638366718028,3.6322)); -#10716=CARTESIAN_POINT('',(-2.49146995377504,4.14638366718028,3.6322)); -#10717=CARTESIAN_POINT('',(-2.49146995377504,4.14638366718028,3.6322)); -#10718=CARTESIAN_POINT('',(-2.49146995377504,4.14638366718028,3.556)); -#10719=CARTESIAN_POINT('',(-2.49146995377504,4.21787416538264,3.556)); -#10720=CARTESIAN_POINT('',(-2.49146995377504,4.289364663585,3.556)); -#10721=CARTESIAN_POINT('',(-2.49146995377504,4.36085516178736,3.556)); -#10722=CARTESIAN_POINT('',(-2.49146995377504,4.36085516178736,3.556)); -#10723=CARTESIAN_POINT('',(-2.49146995377504,4.14638366718028,3.6322)); -#10724=CARTESIAN_POINT('',(-2.49146995377504,4.21787416538264,3.6322)); -#10725=CARTESIAN_POINT('',(-2.49146995377504,4.289364663585,3.6322)); -#10726=CARTESIAN_POINT('',(-2.49146995377504,4.36085516178736,3.6322)); -#10727=CARTESIAN_POINT('',(-2.49146995377504,4.36085516178736,3.6322)); -#10728=CARTESIAN_POINT('',(-2.49146995377504,4.36085516178736,3.6322)); -#10729=CARTESIAN_POINT('',(-2.49146995377504,4.36085516178736,3.6322)); -#10730=CARTESIAN_POINT('',(-2.49146995377504,4.36085516178736,3.556)); -#10731=CARTESIAN_POINT('',(-2.49146995377504,4.46566522286846,3.6322)); -#10732=CARTESIAN_POINT('',(-2.49146995377504,4.46566522286846,3.556)); -#10733=CARTESIAN_POINT('',(-2.41794868397004,4.64502309815841,3.6322)); -#10734=CARTESIAN_POINT('',(-2.41794868397004,4.64502309815841,3.556)); -#10735=CARTESIAN_POINT('',(-2.08143916785365,4.67848271486432,3.6322)); -#10736=CARTESIAN_POINT('',(-2.08143916785365,4.67848271486432,3.556)); -#10737=CARTESIAN_POINT('',(-1.89894363832052,4.44713948791848,3.6322)); -#10738=CARTESIAN_POINT('',(-1.89894363832052,4.44713948791848,3.556)); -#10739=CARTESIAN_POINT('',(-1.93102619414484,4.2402966998023,3.6322)); -#10740=CARTESIAN_POINT('',(-1.93102619414484,4.2402966998023,3.556)); -#10741=CARTESIAN_POINT('',(-1.93102619414484,4.14638366718028,3.6322)); -#10742=CARTESIAN_POINT('',(-1.93102619414484,4.14638366718028,3.556)); -#10743=CARTESIAN_POINT('',(-2.49146995377504,4.36085516178736,3.556)); -#10744=CARTESIAN_POINT('',(-2.49146995377504,4.44539137134052,3.556)); -#10745=CARTESIAN_POINT('',(-2.46642218798151,4.51427272727273,3.556)); -#10746=CARTESIAN_POINT('',(-2.41789214175655,4.57063020030817,3.556)); -#10747=CARTESIAN_POINT('',(-2.37013616804559,4.62608875042412,3.556)); -#10748=CARTESIAN_POINT('',(-2.30361171032357,4.65360092449923,3.556)); -#10749=CARTESIAN_POINT('',(-2.14706317411402,4.65360092449923,3.556)); -#10750=CARTESIAN_POINT('',(-2.08600924499229,4.63324961479199,3.556)); -#10751=CARTESIAN_POINT('',(-2.03434822804314,4.5941124807396,3.556)); -#10752=CARTESIAN_POINT('',(-1.9649645285,4.54154907199479,3.556)); -#10753=CARTESIAN_POINT('',(-1.93102619414484,4.46417719568567,3.556)); -#10754=CARTESIAN_POINT('',(-1.93102619414484,4.36242064714946,3.556)); -#10755=CARTESIAN_POINT('',(-1.93102619414484,4.29040832049307,3.556)); -#10756=CARTESIAN_POINT('',(-1.93102619414484,4.21839599383667,3.556)); -#10757=CARTESIAN_POINT('',(-1.93102619414484,4.14638366718028,3.556)); -#10758=CARTESIAN_POINT('',(-2.49146995377504,4.36085516178736,3.6322)); -#10759=CARTESIAN_POINT('',(-2.49146995377504,4.44539137134052,3.6322)); -#10760=CARTESIAN_POINT('',(-2.46642218798151,4.51427272727273,3.6322)); -#10761=CARTESIAN_POINT('',(-2.41789214175655,4.57063020030817,3.6322)); -#10762=CARTESIAN_POINT('',(-2.37013616804559,4.62608875042412,3.6322)); -#10763=CARTESIAN_POINT('',(-2.30361171032357,4.65360092449923,3.6322)); -#10764=CARTESIAN_POINT('',(-2.14706317411402,4.65360092449923,3.6322)); -#10765=CARTESIAN_POINT('',(-2.08600924499229,4.63324961479199,3.6322)); -#10766=CARTESIAN_POINT('',(-2.03434822804314,4.5941124807396,3.6322)); -#10767=CARTESIAN_POINT('',(-1.9649645285,4.54154907199479,3.6322)); -#10768=CARTESIAN_POINT('',(-1.93102619414484,4.46417719568567,3.6322)); -#10769=CARTESIAN_POINT('',(-1.93102619414484,4.36242064714946,3.6322)); -#10770=CARTESIAN_POINT('',(-1.93102619414484,4.29040832049307,3.6322)); -#10771=CARTESIAN_POINT('',(-1.93102619414484,4.21839599383667,3.6322)); -#10772=CARTESIAN_POINT('',(-1.93102619414484,4.14638366718028,3.6322)); -#10773=CARTESIAN_POINT('',(-2.61514329738059,3.46070107858243,3.6322)); -#10774=CARTESIAN_POINT('',(-2.61514329738059,3.46070107858243,3.556)); -#10775=CARTESIAN_POINT('',(-2.61514329738059,3.41164920390344,3.556)); -#10776=CARTESIAN_POINT('',(-2.61514329738059,3.36259732922445,3.556)); -#10777=CARTESIAN_POINT('',(-2.61514329738059,3.31354545454545,3.556)); -#10778=CARTESIAN_POINT('',(-2.61514329738059,3.46070107858243,3.6322)); -#10779=CARTESIAN_POINT('',(-2.61514329738059,3.41164920390344,3.6322)); -#10780=CARTESIAN_POINT('',(-2.61514329738059,3.36259732922445,3.6322)); -#10781=CARTESIAN_POINT('',(-2.61514329738059,3.31354545454545,3.6322)); -#10782=CARTESIAN_POINT('',(-1.78387057010786,4.3537454465986,3.6322)); -#10783=CARTESIAN_POINT('',(-1.78387057010786,4.3537454465986,3.556)); -#10784=CARTESIAN_POINT('',(-1.55061325115562,3.46070107858243,3.6322)); -#10785=CARTESIAN_POINT('',(-1.55061325115562,3.46070107858243,3.556)); -#10786=CARTESIAN_POINT('',(-1.55061325115562,3.41164920390344,3.556)); -#10787=CARTESIAN_POINT('',(-1.55061325115562,3.36259732922445,3.556)); -#10788=CARTESIAN_POINT('',(-1.55061325115562,3.31354545454545,3.556)); -#10789=CARTESIAN_POINT('',(-1.55061325115562,3.46070107858243,3.556)); -#10790=CARTESIAN_POINT('',(-1.55061325115562,3.31354545454545,3.556)); -#10791=CARTESIAN_POINT('',(-1.55061325115562,3.46070107858243,3.6322)); -#10792=CARTESIAN_POINT('',(-1.55061325115562,3.46070107858243,3.6322)); -#10793=CARTESIAN_POINT('',(-1.55061325115562,3.46070107858243,3.6322)); -#10794=CARTESIAN_POINT('',(-1.55061325115562,3.41164920390344,3.6322)); -#10795=CARTESIAN_POINT('',(-1.55061325115562,3.36259732922445,3.6322)); -#10796=CARTESIAN_POINT('',(-1.55061325115562,3.31354545454545,3.6322)); -#10797=CARTESIAN_POINT('',(-1.55061325115562,3.31354545454545,3.6322)); -#10798=CARTESIAN_POINT('',(-1.55061325115562,3.31354545454545,3.6322)); -#10799=CARTESIAN_POINT('',(-1.55061325115562,3.31354545454545,3.6322)); -#10800=CARTESIAN_POINT('',(-1.55061325115562,3.31354545454545,3.556)); -#10801=CARTESIAN_POINT('',(-1.45092199226545,3.31354545454545,3.6322)); -#10802=CARTESIAN_POINT('',(-1.45092199226545,3.31354545454545,3.556)); -#10803=CARTESIAN_POINT('',(-1.35982534117259,3.32137060185991,3.6322)); -#10804=CARTESIAN_POINT('',(-1.35982534117259,3.32137060185991,3.556)); -#10805=CARTESIAN_POINT('',(-1.29387365177196,3.42469491525424,3.6322)); -#10806=CARTESIAN_POINT('',(-1.29387365177196,3.42469491525424,3.556)); -#10807=CARTESIAN_POINT('',(-1.55061325115562,3.31354545454545,3.556)); -#10808=CARTESIAN_POINT('',(-1.52191268618387,3.31354545454545,3.556)); -#10809=CARTESIAN_POINT('',(-1.49321212121212,3.31354545454545,3.556)); -#10810=CARTESIAN_POINT('',(-1.46451155624037,3.31354545454545,3.556)); -#10811=CARTESIAN_POINT('',(-1.39876117103236,3.31354545454545,3.556)); -#10812=CARTESIAN_POINT('',(-1.34083821263482,3.35111710323575,3.556)); -#10813=CARTESIAN_POINT('',(-1.29387365177196,3.42469491525424,3.556)); -#10814=CARTESIAN_POINT('',(-1.29387365177196,3.42469491525424,3.556)); -#10815=CARTESIAN_POINT('',(-1.55061325115562,3.31354545454545,3.6322)); -#10816=CARTESIAN_POINT('',(-1.52191268618387,3.31354545454545,3.6322)); -#10817=CARTESIAN_POINT('',(-1.49321212121212,3.31354545454545,3.6322)); -#10818=CARTESIAN_POINT('',(-1.46451155624037,3.31354545454545,3.6322)); -#10819=CARTESIAN_POINT('',(-1.39876117103236,3.31354545454545,3.6322)); -#10820=CARTESIAN_POINT('',(-1.34083821263482,3.35111710323575,3.6322)); -#10821=CARTESIAN_POINT('',(-1.29387365177196,3.42469491525424,3.6322)); -#10822=CARTESIAN_POINT('',(-1.29387365177196,3.42469491525424,3.6322)); -#10823=CARTESIAN_POINT('',(-1.29387365177196,3.42469491525424,3.6322)); -#10824=CARTESIAN_POINT('',(-1.29387365177196,3.42469491525424,3.6322)); -#10825=CARTESIAN_POINT('',(-1.29387365177196,3.42469491525424,3.556)); -#10826=CARTESIAN_POINT('',(-1.21530551843686,3.3323773585855,3.6322)); -#10827=CARTESIAN_POINT('',(-1.21530551843686,3.3323773585855,3.556)); -#10828=CARTESIAN_POINT('',(-1.0263784690662,3.31446241345682,3.6322)); -#10829=CARTESIAN_POINT('',(-1.0263784690662,3.31446241345682,3.556)); -#10830=CARTESIAN_POINT('',(-0.786225800526977,3.30573988834649,3.6322)); -#10831=CARTESIAN_POINT('',(-0.786225800526977,3.30573988834649,3.556)); -#10832=CARTESIAN_POINT('',(-0.605028838752422,3.3469331925569,3.6322)); -#10833=CARTESIAN_POINT('',(-0.605028838752422,3.3469331925569,3.556)); -#10834=CARTESIAN_POINT('',(-0.537560311999215,3.51325303082491,3.6322)); -#10835=CARTESIAN_POINT('',(-0.537560311999215,3.51325303082491,3.556)); -#10836=CARTESIAN_POINT('',(-0.549905129008364,3.77912835859998,3.6322)); -#10837=CARTESIAN_POINT('',(-0.549905129008364,3.77912835859998,3.556)); -#10838=CARTESIAN_POINT('',(-0.546627009283024,4.07113507714465,3.6322)); -#10839=CARTESIAN_POINT('',(-0.546627009283024,4.07113507714465,3.556)); -#10840=CARTESIAN_POINT('',(-0.538072086594022,4.35407560169823,3.6322)); -#10841=CARTESIAN_POINT('',(-0.538072086594022,4.35407560169823,3.556)); -#10842=CARTESIAN_POINT('',(-0.558859788345031,4.63445683985904,3.6322)); -#10843=CARTESIAN_POINT('',(-0.558859788345031,4.63445683985904,3.556)); -#10844=CARTESIAN_POINT('',(-0.656549649978632,4.75616120659723,3.6322)); -#10845=CARTESIAN_POINT('',(-0.656549649978632,4.75616120659723,3.556)); -#10846=CARTESIAN_POINT('',(-0.839814643719395,4.80291399828026,3.6322)); -#10847=CARTESIAN_POINT('',(-0.839814643719395,4.80291399828026,3.556)); -#10848=CARTESIAN_POINT('',(-1.08871020918501,4.78944297237237,3.6322)); -#10849=CARTESIAN_POINT('',(-1.08871020918501,4.78944297237237,3.556)); -#10850=CARTESIAN_POINT('',(-1.26488797796178,4.79449460708783,3.6322)); -#10851=CARTESIAN_POINT('',(-1.26488797796178,4.79449460708783,3.556)); -#10852=CARTESIAN_POINT('',(-1.3846718027735,4.79449460708783,3.6322)); -#10853=CARTESIAN_POINT('',(-1.3846718027735,4.79449460708783,3.556)); -#10854=CARTESIAN_POINT('',(-1.29387365177196,3.42469491525424,3.556)); -#10855=CARTESIAN_POINT('',(-1.23125423728813,3.35111710323575,3.556)); -#10856=CARTESIAN_POINT('',(-1.14671802773498,3.31354545454545,3.556)); -#10857=CARTESIAN_POINT('',(-1.04026502311248,3.31354545454545,3.556)); -#10858=CARTESIAN_POINT('',(-0.959381612737544,3.31354545454545,3.556)); -#10859=CARTESIAN_POINT('',(-0.878498202362608,3.31354545454545,3.556)); -#10860=CARTESIAN_POINT('',(-0.797614791987671,3.31354545454545,3.556)); -#10861=CARTESIAN_POINT('',(-0.630107858243451,3.31354545454545,3.556)); -#10862=CARTESIAN_POINT('',(-0.545571648690292,3.40590909090909,3.556)); -#10863=CARTESIAN_POINT('',(-0.545571648690292,3.58907087827427,3.556)); -#10864=CARTESIAN_POINT('',(-0.545571648690292,3.87712018489985,3.556)); -#10865=CARTESIAN_POINT('',(-0.545571648690292,4.16516949152542,3.556)); -#10866=CARTESIAN_POINT('',(-0.545571648690292,4.453218798151,3.556)); -#10867=CARTESIAN_POINT('',(-0.545571648690292,4.68021417565485,3.556)); -#10868=CARTESIAN_POINT('',(-0.656721109399075,4.79449460708783,3.556)); -#10869=CARTESIAN_POINT('',(-0.875889060092449,4.79449460708783,3.556)); -#10870=CARTESIAN_POINT('',(-1.0454833076528,4.79449460708783,3.556)); -#10871=CARTESIAN_POINT('',(-1.21507755521315,4.79449460708783,3.556)); -#10872=CARTESIAN_POINT('',(-1.3846718027735,4.79449460708783,3.556)); -#10873=CARTESIAN_POINT('',(-1.3846718027735,4.79449460708783,3.556)); -#10874=CARTESIAN_POINT('',(-1.29387365177196,3.42469491525424,3.6322)); -#10875=CARTESIAN_POINT('',(-1.23125423728813,3.35111710323575,3.6322)); -#10876=CARTESIAN_POINT('',(-1.14671802773498,3.31354545454545,3.6322)); -#10877=CARTESIAN_POINT('',(-1.04026502311248,3.31354545454545,3.6322)); -#10878=CARTESIAN_POINT('',(-0.959381612737544,3.31354545454545,3.6322)); -#10879=CARTESIAN_POINT('',(-0.878498202362608,3.31354545454545,3.6322)); -#10880=CARTESIAN_POINT('',(-0.797614791987671,3.31354545454545,3.6322)); -#10881=CARTESIAN_POINT('',(-0.630107858243451,3.31354545454545,3.6322)); -#10882=CARTESIAN_POINT('',(-0.545571648690292,3.40590909090909,3.6322)); -#10883=CARTESIAN_POINT('',(-0.545571648690292,3.58907087827427,3.6322)); -#10884=CARTESIAN_POINT('',(-0.545571648690292,3.87712018489985,3.6322)); -#10885=CARTESIAN_POINT('',(-0.545571648690292,4.16516949152542,3.6322)); -#10886=CARTESIAN_POINT('',(-0.545571648690292,4.453218798151,3.6322)); -#10887=CARTESIAN_POINT('',(-0.545571648690292,4.68021417565485,3.6322)); -#10888=CARTESIAN_POINT('',(-0.656721109399075,4.79449460708783,3.6322)); -#10889=CARTESIAN_POINT('',(-0.875889060092449,4.79449460708783,3.6322)); -#10890=CARTESIAN_POINT('',(-1.0454833076528,4.79449460708783,3.6322)); -#10891=CARTESIAN_POINT('',(-1.21507755521315,4.79449460708783,3.6322)); -#10892=CARTESIAN_POINT('',(-1.3846718027735,4.79449460708783,3.6322)); -#10893=CARTESIAN_POINT('',(-1.3846718027735,4.79449460708783,3.6322)); -#10894=CARTESIAN_POINT('',(-1.3846718027735,4.79449460708783,3.6322)); -#10895=CARTESIAN_POINT('',(-1.23751617873652,3.66734514637904,3.6322)); -#10896=CARTESIAN_POINT('',(-1.23751617873652,3.66734514637904,3.556)); -#10897=CARTESIAN_POINT('',(-1.23751617873652,3.99609707241911,3.556)); -#10898=CARTESIAN_POINT('',(-1.23751617873652,4.32484899845917,3.556)); -#10899=CARTESIAN_POINT('',(-1.23751617873652,4.65360092449923,3.556)); -#10900=CARTESIAN_POINT('',(-1.23751617873652,3.66734514637904,3.556)); -#10901=CARTESIAN_POINT('',(-1.23751617873652,4.65360092449923,3.556)); -#10902=CARTESIAN_POINT('',(-1.23751617873652,3.66734514637904,3.6322)); -#10903=CARTESIAN_POINT('',(-1.23751617873652,3.66734514637904,3.6322)); -#10904=CARTESIAN_POINT('',(-1.23751617873652,3.66734514637904,3.6322)); -#10905=CARTESIAN_POINT('',(-1.23751617873652,3.99609707241911,3.6322)); -#10906=CARTESIAN_POINT('',(-1.23751617873652,4.32484899845917,3.6322)); -#10907=CARTESIAN_POINT('',(-1.23751617873652,4.65360092449923,3.6322)); -#10908=CARTESIAN_POINT('',(-1.23751617873652,4.65360092449923,3.6322)); -#10909=CARTESIAN_POINT('',(-1.23751617873652,4.65360092449923,3.6322)); -#10910=CARTESIAN_POINT('',(-1.23751617873652,4.65360092449923,3.6322)); -#10911=CARTESIAN_POINT('',(-1.23751617873652,4.65360092449923,3.556)); -#10912=CARTESIAN_POINT('',(-1.14193597762975,4.65360092449923,3.6322)); -#10913=CARTESIAN_POINT('',(-1.14193597762975,4.65360092449923,3.556)); -#10914=CARTESIAN_POINT('',(-1.00658717636442,4.6496312172143,3.6322)); -#10915=CARTESIAN_POINT('',(-1.00658717636442,4.6496312172143,3.556)); -#10916=CARTESIAN_POINT('',(-0.794036106431379,4.66021710330745,3.6322)); -#10917=CARTESIAN_POINT('',(-0.794036106431379,4.66021710330745,3.556)); -#10918=CARTESIAN_POINT('',(-0.693104636466854,4.57953536348202,3.6322)); -#10919=CARTESIAN_POINT('',(-0.693104636466854,4.57953536348202,3.556)); -#10920=CARTESIAN_POINT('',(-0.690593860872987,4.40705940785813,3.6322)); -#10921=CARTESIAN_POINT('',(-0.690593860872987,4.40705940785813,3.556)); -#10922=CARTESIAN_POINT('',(-0.691789572018679,4.22571857798113,3.6322)); -#10923=CARTESIAN_POINT('',(-0.691789572018679,4.22571857798113,3.556)); -#10924=CARTESIAN_POINT('',(-0.688351357944603,3.97974818488926,3.6322)); -#10925=CARTESIAN_POINT('',(-0.688351357944603,3.97974818488926,3.556)); -#10926=CARTESIAN_POINT('',(-0.698965290973396,3.75017132608632,3.6322)); -#10927=CARTESIAN_POINT('',(-0.698965290973396,3.75017132608632,3.556)); -#10928=CARTESIAN_POINT('',(-0.677677566754498,3.54260084134702,3.6322)); -#10929=CARTESIAN_POINT('',(-0.677677566754498,3.54260084134702,3.556)); -#10930=CARTESIAN_POINT('',(-0.74396618766397,3.45749541652046,3.6322)); -#10931=CARTESIAN_POINT('',(-0.74396618766397,3.45749541652046,3.556)); -#10932=CARTESIAN_POINT('',(-0.865318321043854,3.45573090864839,3.6322)); -#10933=CARTESIAN_POINT('',(-0.865318321043854,3.45573090864839,3.556)); -#10934=CARTESIAN_POINT('',(-0.950875696770606,3.45615611155841,3.6322)); -#10935=CARTESIAN_POINT('',(-0.950875696770606,3.45615611155841,3.556)); -#10936=CARTESIAN_POINT('',(-1.16449936518818,3.4559512616211,3.6322)); -#10937=CARTESIAN_POINT('',(-1.16449936518818,3.4559512616211,3.556)); -#10938=CARTESIAN_POINT('',(-1.23751617873652,3.55933432190526,3.6322)); -#10939=CARTESIAN_POINT('',(-1.23751617873652,3.55933432190526,3.556)); -#10940=CARTESIAN_POINT('',(-1.23751617873652,3.66734514637904,3.6322)); -#10941=CARTESIAN_POINT('',(-1.23751617873652,3.66734514637904,3.556)); -#10942=CARTESIAN_POINT('',(-1.23751617873652,4.65360092449923,3.556)); -#10943=CARTESIAN_POINT('',(-1.11488649203903,4.65360092449923,3.556)); -#10944=CARTESIAN_POINT('',(-0.992256805341551,4.65360092449923,3.556)); -#10945=CARTESIAN_POINT('',(-0.869627118644067,4.65360092449923,3.556)); -#10946=CARTESIAN_POINT('',(-0.750650231124807,4.65360092449923,3.556)); -#10947=CARTESIAN_POINT('',(-0.691161787365177,4.58941602465331,3.556)); -#10948=CARTESIAN_POINT('',(-0.691161787365177,4.45948073959938,3.556)); -#10949=CARTESIAN_POINT('',(-0.691161787365177,4.16673497688752,3.556)); -#10950=CARTESIAN_POINT('',(-0.691161787365177,3.87398921417565,3.556)); -#10951=CARTESIAN_POINT('',(-0.691161787365177,3.58124345146379,3.556)); -#10952=CARTESIAN_POINT('',(-0.691161787365177,3.49827272727273,3.556)); -#10953=CARTESIAN_POINT('',(-0.741257318952234,3.45600462249615,3.556)); -#10954=CARTESIAN_POINT('',(-0.839882896764253,3.45600462249615,3.556)); -#10955=CARTESIAN_POINT('',(-0.889456599897277,3.45600462249615,3.556)); -#10956=CARTESIAN_POINT('',(-0.939030303030302,3.45600462249615,3.556)); -#10957=CARTESIAN_POINT('',(-0.988604006163327,3.45600462249615,3.556)); -#10958=CARTESIAN_POINT('',(-1.15454545454545,3.45600462249615,3.556)); -#10959=CARTESIAN_POINT('',(-1.23751617873652,3.52645146379045,3.556)); -#10960=CARTESIAN_POINT('',(-1.23751617873652,3.66734514637904,3.556)); -#10961=CARTESIAN_POINT('',(-1.23751617873652,4.65360092449923,3.6322)); -#10962=CARTESIAN_POINT('',(-1.11488649203903,4.65360092449923,3.6322)); -#10963=CARTESIAN_POINT('',(-0.992256805341551,4.65360092449923,3.6322)); -#10964=CARTESIAN_POINT('',(-0.869627118644067,4.65360092449923,3.6322)); -#10965=CARTESIAN_POINT('',(-0.750650231124807,4.65360092449923,3.6322)); -#10966=CARTESIAN_POINT('',(-0.691161787365177,4.58941602465331,3.6322)); -#10967=CARTESIAN_POINT('',(-0.691161787365177,4.45948073959938,3.6322)); -#10968=CARTESIAN_POINT('',(-0.691161787365177,4.16673497688752,3.6322)); -#10969=CARTESIAN_POINT('',(-0.691161787365177,3.87398921417565,3.6322)); -#10970=CARTESIAN_POINT('',(-0.691161787365177,3.58124345146379,3.6322)); -#10971=CARTESIAN_POINT('',(-0.691161787365177,3.49827272727273,3.6322)); -#10972=CARTESIAN_POINT('',(-0.741257318952234,3.45600462249615,3.6322)); -#10973=CARTESIAN_POINT('',(-0.839882896764253,3.45600462249615,3.6322)); -#10974=CARTESIAN_POINT('',(-0.889456599897277,3.45600462249615,3.6322)); -#10975=CARTESIAN_POINT('',(-0.939030303030302,3.45600462249615,3.6322)); -#10976=CARTESIAN_POINT('',(-0.988604006163327,3.45600462249615,3.6322)); -#10977=CARTESIAN_POINT('',(-1.15454545454545,3.45600462249615,3.6322)); -#10978=CARTESIAN_POINT('',(-1.23751617873652,3.52645146379045,3.6322)); -#10979=CARTESIAN_POINT('',(-1.23751617873652,3.66734514637904,3.6322)); -#10980=CARTESIAN_POINT('',(-1.3846718027735,4.79449460708783,3.6322)); -#10981=CARTESIAN_POINT('',(-1.3846718027735,4.79449460708783,3.556)); -#10982=CARTESIAN_POINT('',(-1.3846718027735,4.6754486271926,3.6322)); -#10983=CARTESIAN_POINT('',(-1.3846718027735,4.6754486271926,3.556)); -#10984=CARTESIAN_POINT('',(-1.38406234761191,4.43970333869921,3.6322)); -#10985=CARTESIAN_POINT('',(-1.38406234761191,4.43970333869921,3.556)); -#10986=CARTESIAN_POINT('',(-1.38680489583904,4.07200537817669,3.6322)); -#10987=CARTESIAN_POINT('',(-1.38680489583904,4.07200537817669,3.556)); -#10988=CARTESIAN_POINT('',(-1.37842488736726,3.80665715877341,3.6322)); -#10989=CARTESIAN_POINT('',(-1.37842488736726,3.80665715877341,3.556)); -#10990=CARTESIAN_POINT('',(-1.39548963189162,3.50285840266483,3.6322)); -#10991=CARTESIAN_POINT('',(-1.39548963189162,3.50285840266483,3.556)); -#10992=CARTESIAN_POINT('',(-1.4883206128071,3.45982371747893,3.6322)); -#10993=CARTESIAN_POINT('',(-1.4883206128071,3.45982371747893,3.556)); -#10994=CARTESIAN_POINT('',(-1.55061325115562,3.46070107858243,3.6322)); -#10995=CARTESIAN_POINT('',(-1.55061325115562,3.46070107858243,3.556)); -#10996=CARTESIAN_POINT('',(-1.3846718027735,4.79449460708783,3.556)); -#10997=CARTESIAN_POINT('',(-1.3846718027735,4.42451823317925,3.556)); -#10998=CARTESIAN_POINT('',(-1.3846718027735,4.05454185927067,3.556)); -#10999=CARTESIAN_POINT('',(-1.3846718027735,3.68456548536209,3.556)); -#11000=CARTESIAN_POINT('',(-1.3846718027735,3.53427889060092,3.556)); -#11001=CARTESIAN_POINT('',(-1.43946379044684,3.45913559322034,3.556)); -#11002=CARTESIAN_POINT('',(-1.55061325115562,3.46070107858243,3.556)); -#11003=CARTESIAN_POINT('',(-1.3846718027735,4.79449460708783,3.6322)); -#11004=CARTESIAN_POINT('',(-1.3846718027735,4.42451823317925,3.6322)); -#11005=CARTESIAN_POINT('',(-1.3846718027735,4.05454185927067,3.6322)); -#11006=CARTESIAN_POINT('',(-1.3846718027735,3.68456548536209,3.6322)); -#11007=CARTESIAN_POINT('',(-1.3846718027735,3.53427889060092,3.6322)); -#11008=CARTESIAN_POINT('',(-1.43946379044684,3.45913559322034,3.6322)); -#11009=CARTESIAN_POINT('',(-1.55061325115562,3.46070107858243,3.6322)); -#11010=CARTESIAN_POINT('',(-1.40792500320762,3.32350400969135,3.6322)); -#11011=CARTESIAN_POINT('',(-1.40792500320762,3.32350400969135,3.556)); -#11012=CARTESIAN_POINT('',(1.94041910631741,4.78510169491525,3.6322)); -#11013=CARTESIAN_POINT('',(1.94041910631741,4.78510169491525,3.556)); -#11014=CARTESIAN_POINT('',(1.94041910631741,3.31354545454545,3.556)); -#11015=CARTESIAN_POINT('',(1.94041910631741,4.78510169491525,3.556)); -#11016=CARTESIAN_POINT('',(1.94041910631741,3.31354545454545,3.556)); -#11017=CARTESIAN_POINT('',(1.94041910631741,4.78510169491525,3.6322)); -#11018=CARTESIAN_POINT('',(1.94041910631741,4.78510169491525,3.6322)); -#11019=CARTESIAN_POINT('',(1.94041910631741,4.78510169491525,3.6322)); -#11020=CARTESIAN_POINT('',(1.94041910631741,3.31354545454545,3.6322)); -#11021=CARTESIAN_POINT('',(1.94041910631741,3.31354545454545,3.6322)); -#11022=CARTESIAN_POINT('',(1.94041910631741,3.31354545454545,3.6322)); -#11023=CARTESIAN_POINT('',(1.94041910631741,3.31354545454545,3.6322)); -#11024=CARTESIAN_POINT('',(1.94041910631741,3.31354545454545,3.556)); -#11025=CARTESIAN_POINT('',(2.08757473035439,3.31354545454545,3.556)); -#11026=CARTESIAN_POINT('',(2.08757473035439,3.31354545454545,3.556)); -#11027=CARTESIAN_POINT('',(1.94041910631741,3.31354545454545,3.6322)); -#11028=CARTESIAN_POINT('',(2.08757473035439,3.31354545454545,3.6322)); -#11029=CARTESIAN_POINT('',(2.08757473035439,3.31354545454545,3.6322)); -#11030=CARTESIAN_POINT('',(2.08757473035439,3.31354545454545,3.6322)); -#11031=CARTESIAN_POINT('',(2.08757473035439,3.31354545454545,3.6322)); -#11032=CARTESIAN_POINT('',(2.08757473035439,3.31354545454545,3.556)); -#11033=CARTESIAN_POINT('',(2.08757473035439,4.78510169491525,3.556)); -#11034=CARTESIAN_POINT('',(2.08757473035439,4.78510169491525,3.556)); -#11035=CARTESIAN_POINT('',(2.08757473035439,3.31354545454545,3.6322)); -#11036=CARTESIAN_POINT('',(2.08757473035439,4.78510169491525,3.6322)); -#11037=CARTESIAN_POINT('',(2.08757473035439,4.78510169491525,3.6322)); -#11038=CARTESIAN_POINT('',(2.08757473035439,4.78510169491525,3.6322)); -#11039=CARTESIAN_POINT('',(2.08757473035439,4.78510169491525,3.6322)); -#11040=CARTESIAN_POINT('',(2.08757473035439,4.78510169491525,3.556)); -#11041=CARTESIAN_POINT('',(1.94041910631741,4.78510169491525,3.556)); -#11042=CARTESIAN_POINT('',(2.08757473035439,4.78510169491525,3.6322)); -#11043=CARTESIAN_POINT('',(1.94041910631741,4.78510169491525,3.6322)); -#11044=CARTESIAN_POINT('',(1.94041910631741,4.04932357473035,3.6322)); -#11045=CARTESIAN_POINT('',(1.94041910631741,4.04932357473035,3.556)); -#11046=CARTESIAN_POINT('',(1.94041910631741,5.33928351309707,3.6322)); -#11047=CARTESIAN_POINT('',(1.94041910631741,5.33928351309707,3.556)); -#11048=CARTESIAN_POINT('',(1.94041910631741,5.12637750385208,3.556)); -#11049=CARTESIAN_POINT('',(1.94041910631741,5.33928351309707,3.556)); -#11050=CARTESIAN_POINT('',(1.94041910631741,5.12637750385208,3.556)); -#11051=CARTESIAN_POINT('',(1.94041910631741,5.33928351309707,3.6322)); -#11052=CARTESIAN_POINT('',(1.94041910631741,5.33928351309707,3.6322)); -#11053=CARTESIAN_POINT('',(1.94041910631741,5.33928351309707,3.6322)); -#11054=CARTESIAN_POINT('',(1.94041910631741,5.12637750385208,3.6322)); -#11055=CARTESIAN_POINT('',(1.94041910631741,5.12637750385208,3.6322)); -#11056=CARTESIAN_POINT('',(1.94041910631741,5.12637750385208,3.6322)); -#11057=CARTESIAN_POINT('',(1.94041910631741,5.12637750385208,3.6322)); -#11058=CARTESIAN_POINT('',(1.94041910631741,5.12637750385208,3.556)); -#11059=CARTESIAN_POINT('',(2.08757473035439,5.12637750385208,3.556)); -#11060=CARTESIAN_POINT('',(2.08757473035439,5.12637750385208,3.556)); -#11061=CARTESIAN_POINT('',(1.94041910631741,5.12637750385208,3.6322)); -#11062=CARTESIAN_POINT('',(2.08757473035439,5.12637750385208,3.6322)); -#11063=CARTESIAN_POINT('',(2.08757473035439,5.12637750385208,3.6322)); -#11064=CARTESIAN_POINT('',(2.08757473035439,5.12637750385208,3.6322)); -#11065=CARTESIAN_POINT('',(2.08757473035439,5.12637750385208,3.6322)); -#11066=CARTESIAN_POINT('',(2.08757473035439,5.12637750385208,3.556)); -#11067=CARTESIAN_POINT('',(2.08757473035439,5.33928351309707,3.556)); -#11068=CARTESIAN_POINT('',(2.08757473035439,5.33928351309707,3.556)); -#11069=CARTESIAN_POINT('',(2.08757473035439,5.12637750385208,3.6322)); -#11070=CARTESIAN_POINT('',(2.08757473035439,5.33928351309707,3.6322)); -#11071=CARTESIAN_POINT('',(2.08757473035439,5.33928351309707,3.6322)); -#11072=CARTESIAN_POINT('',(2.08757473035439,5.33928351309707,3.6322)); -#11073=CARTESIAN_POINT('',(2.08757473035439,5.33928351309707,3.6322)); -#11074=CARTESIAN_POINT('',(2.08757473035439,5.33928351309707,3.556)); -#11075=CARTESIAN_POINT('',(1.94041910631741,5.33928351309707,3.556)); -#11076=CARTESIAN_POINT('',(2.08757473035439,5.33928351309707,3.6322)); -#11077=CARTESIAN_POINT('',(1.94041910631741,5.33928351309707,3.6322)); -#11078=CARTESIAN_POINT('',(1.94041910631741,5.23283050847458,3.6322)); -#11079=CARTESIAN_POINT('',(1.94041910631741,5.23283050847458,3.556)); -#11080=CARTESIAN_POINT('',(1.40032665639445,7.46364714946071,3.6322)); -#11081=CARTESIAN_POINT('',(1.40032665639445,7.46364714946071,3.556)); -#11082=CARTESIAN_POINT('',(1.31668127066447,7.46364714946071,3.6322)); -#11083=CARTESIAN_POINT('',(1.31668127066447,7.46364714946071,3.556)); -#11084=CARTESIAN_POINT('',(1.16200249873835,7.46726347044951,3.6322)); -#11085=CARTESIAN_POINT('',(1.16200249873835,7.46726347044951,3.556)); -#11086=CARTESIAN_POINT('',(0.854312343646044,7.45099002599991,3.6322)); -#11087=CARTESIAN_POINT('',(0.854312343646044,7.45099002599991,3.556)); -#11088=CARTESIAN_POINT('',(0.731633560326389,7.26090626595359,3.6322)); -#11089=CARTESIAN_POINT('',(0.731633560326389,7.26090626595359,3.556)); -#11090=CARTESIAN_POINT('',(0.754244425661334,6.89659152250862,3.6322)); -#11091=CARTESIAN_POINT('',(0.754244425661334,6.89659152250862,3.556)); -#11092=CARTESIAN_POINT('',(0.742938992993862,6.49323119412121,3.6322)); -#11093=CARTESIAN_POINT('',(0.742938992993862,6.49323119412121,3.556)); -#11094=CARTESIAN_POINT('',(0.745953775038521,6.15468522446459,3.6322)); -#11095=CARTESIAN_POINT('',(0.745953775038521,6.15468522446459,3.556)); -#11096=CARTESIAN_POINT('',(0.745953775038521,5.98739445300462,3.6322)); -#11097=CARTESIAN_POINT('',(0.745953775038521,5.98739445300462,3.556)); -#11098=CARTESIAN_POINT('',(1.40032665639445,7.46364714946071,3.556)); -#11099=CARTESIAN_POINT('',(1.29804827940421,7.46364714946071,3.556)); -#11100=CARTESIAN_POINT('',(1.19576990241397,7.46364714946071,3.556)); -#11101=CARTESIAN_POINT('',(1.09349152542373,7.46364714946071,3.556)); -#11102=CARTESIAN_POINT('',(0.86179969183359,7.46364714946071,3.556)); -#11103=CARTESIAN_POINT('',(0.745953775038521,7.3603251155624,3.556)); -#11104=CARTESIAN_POINT('',(0.745953775038521,7.15681201848998,3.556)); -#11105=CARTESIAN_POINT('',(0.745953775038521,6.7670061633282,3.556)); -#11106=CARTESIAN_POINT('',(0.745953775038521,6.37720030816641,3.556)); -#11107=CARTESIAN_POINT('',(0.745953775038521,5.98739445300462,3.556)); -#11108=CARTESIAN_POINT('',(1.40032665639445,7.46364714946071,3.556)); -#11109=CARTESIAN_POINT('',(0.745953775038521,5.98739445300462,3.556)); -#11110=CARTESIAN_POINT('',(1.40032665639445,7.46364714946071,3.6322)); -#11111=CARTESIAN_POINT('',(1.40032665639445,7.46364714946071,3.6322)); -#11112=CARTESIAN_POINT('',(1.40032665639445,7.46364714946071,3.6322)); -#11113=CARTESIAN_POINT('',(1.29804827940421,7.46364714946071,3.6322)); -#11114=CARTESIAN_POINT('',(1.19576990241397,7.46364714946071,3.6322)); -#11115=CARTESIAN_POINT('',(1.09349152542373,7.46364714946071,3.6322)); -#11116=CARTESIAN_POINT('',(0.86179969183359,7.46364714946071,3.6322)); -#11117=CARTESIAN_POINT('',(0.745953775038521,7.3603251155624,3.6322)); -#11118=CARTESIAN_POINT('',(0.745953775038521,7.15681201848998,3.6322)); -#11119=CARTESIAN_POINT('',(0.745953775038521,6.7670061633282,3.6322)); -#11120=CARTESIAN_POINT('',(0.745953775038521,6.37720030816641,3.6322)); -#11121=CARTESIAN_POINT('',(0.745953775038521,5.98739445300462,3.6322)); -#11122=CARTESIAN_POINT('',(0.745953775038521,5.98739445300462,3.6322)); -#11123=CARTESIAN_POINT('',(0.745953775038521,5.98739445300462,3.6322)); -#11124=CARTESIAN_POINT('',(0.745953775038521,5.98739445300462,3.6322)); -#11125=CARTESIAN_POINT('',(0.745953775038521,5.98739445300462,3.556)); -#11126=CARTESIAN_POINT('',(0.795005649717514,5.98739445300462,3.556)); -#11127=CARTESIAN_POINT('',(0.844057524396508,5.98739445300462,3.556)); -#11128=CARTESIAN_POINT('',(0.893109399075501,5.98739445300462,3.556)); -#11129=CARTESIAN_POINT('',(0.893109399075501,5.98739445300462,3.556)); -#11130=CARTESIAN_POINT('',(0.745953775038521,5.98739445300462,3.6322)); -#11131=CARTESIAN_POINT('',(0.795005649717514,5.98739445300462,3.6322)); -#11132=CARTESIAN_POINT('',(0.844057524396508,5.98739445300462,3.6322)); -#11133=CARTESIAN_POINT('',(0.893109399075501,5.98739445300462,3.6322)); -#11134=CARTESIAN_POINT('',(0.893109399075501,5.98739445300462,3.6322)); -#11135=CARTESIAN_POINT('',(0.893109399075501,5.98739445300462,3.6322)); -#11136=CARTESIAN_POINT('',(0.893109399075501,5.98739445300462,3.6322)); -#11137=CARTESIAN_POINT('',(0.893109399075501,5.98739445300462,3.556)); -#11138=CARTESIAN_POINT('',(0.893109399075501,6.1339754435979,3.6322)); -#11139=CARTESIAN_POINT('',(0.893109399075501,6.1339754435979,3.556)); -#11140=CARTESIAN_POINT('',(0.891042434496948,6.42648230635937,3.6322)); -#11141=CARTESIAN_POINT('',(0.891042434496948,6.42648230635937,3.556)); -#11142=CARTESIAN_POINT('',(0.898793551666524,6.79539147693657,3.6322)); -#11143=CARTESIAN_POINT('',(0.898793551666524,6.79539147693657,3.556)); -#11144=CARTESIAN_POINT('',(0.883291317327372,7.08364006993509,3.6322)); -#11145=CARTESIAN_POINT('',(0.883291317327372,7.08364006993509,3.556)); -#11146=CARTESIAN_POINT('',(0.930573132061785,7.31849738979851,3.6322)); -#11147=CARTESIAN_POINT('',(0.930573132061785,7.31849738979851,3.556)); -#11148=CARTESIAN_POINT('',(1.18902961409107,7.33000778957551,3.6322)); -#11149=CARTESIAN_POINT('',(1.18902961409107,7.33000778957551,3.556)); -#11150=CARTESIAN_POINT('',(1.32703616109782,7.3274499229584,3.6322)); -#11151=CARTESIAN_POINT('',(1.32703616109782,7.3274499229584,3.556)); -#11152=CARTESIAN_POINT('',(1.40032665639445,7.3274499229584,3.6322)); -#11153=CARTESIAN_POINT('',(1.40032665639445,7.3274499229584,3.556)); -#11154=CARTESIAN_POINT('',(0.893109399075501,5.98739445300462,3.556)); -#11155=CARTESIAN_POINT('',(0.893109399075501,6.35684899845917,3.556)); -#11156=CARTESIAN_POINT('',(0.893109399075501,6.72630354391371,3.556)); -#11157=CARTESIAN_POINT('',(0.893109399075501,7.09575808936826,3.556)); -#11158=CARTESIAN_POINT('',(0.893109399075501,7.24917565485362,3.556)); -#11159=CARTESIAN_POINT('',(0.971383667180277,7.3274499229584,3.556)); -#11160=CARTESIAN_POINT('',(1.12949768875193,7.3274499229584,3.556)); -#11161=CARTESIAN_POINT('',(1.21977401129943,7.3274499229584,3.556)); -#11162=CARTESIAN_POINT('',(1.31005033384694,7.3274499229584,3.556)); -#11163=CARTESIAN_POINT('',(1.40032665639445,7.3274499229584,3.556)); -#11164=CARTESIAN_POINT('',(1.40032665639445,7.3274499229584,3.556)); -#11165=CARTESIAN_POINT('',(0.893109399075501,5.98739445300462,3.6322)); -#11166=CARTESIAN_POINT('',(0.893109399075501,6.35684899845917,3.6322)); -#11167=CARTESIAN_POINT('',(0.893109399075501,6.72630354391371,3.6322)); -#11168=CARTESIAN_POINT('',(0.893109399075501,7.09575808936826,3.6322)); -#11169=CARTESIAN_POINT('',(0.893109399075501,7.24917565485362,3.6322)); -#11170=CARTESIAN_POINT('',(0.971383667180277,7.3274499229584,3.6322)); -#11171=CARTESIAN_POINT('',(1.12949768875193,7.3274499229584,3.6322)); -#11172=CARTESIAN_POINT('',(1.21977401129943,7.3274499229584,3.6322)); -#11173=CARTESIAN_POINT('',(1.31005033384694,7.3274499229584,3.6322)); -#11174=CARTESIAN_POINT('',(1.40032665639445,7.3274499229584,3.6322)); -#11175=CARTESIAN_POINT('',(1.40032665639445,7.3274499229584,3.6322)); -#11176=CARTESIAN_POINT('',(1.40032665639445,7.3274499229584,3.6322)); -#11177=CARTESIAN_POINT('',(1.40032665639445,7.3274499229584,3.6322)); -#11178=CARTESIAN_POINT('',(1.40032665639445,7.3274499229584,3.556)); -#11179=CARTESIAN_POINT('',(1.40032665639445,6.88076476630714,3.556)); -#11180=CARTESIAN_POINT('',(1.40032665639445,6.43407960965588,3.556)); -#11181=CARTESIAN_POINT('',(1.40032665639445,5.98739445300462,3.556)); -#11182=CARTESIAN_POINT('',(1.40032665639445,5.98739445300462,3.556)); -#11183=CARTESIAN_POINT('',(1.40032665639445,7.3274499229584,3.6322)); -#11184=CARTESIAN_POINT('',(1.40032665639445,6.88076476630714,3.6322)); -#11185=CARTESIAN_POINT('',(1.40032665639445,6.43407960965588,3.6322)); -#11186=CARTESIAN_POINT('',(1.40032665639445,5.98739445300462,3.6322)); -#11187=CARTESIAN_POINT('',(1.40032665639445,5.98739445300462,3.6322)); -#11188=CARTESIAN_POINT('',(1.40032665639445,5.98739445300462,3.6322)); -#11189=CARTESIAN_POINT('',(1.40032665639445,5.98739445300462,3.6322)); -#11190=CARTESIAN_POINT('',(1.40032665639445,5.98739445300462,3.556)); -#11191=CARTESIAN_POINT('',(1.44885670261941,5.98739445300462,3.556)); -#11192=CARTESIAN_POINT('',(1.49738674884438,5.98739445300462,3.556)); -#11193=CARTESIAN_POINT('',(1.54591679506934,5.98739445300462,3.556)); -#11194=CARTESIAN_POINT('',(1.54591679506934,5.98739445300462,3.556)); -#11195=CARTESIAN_POINT('',(1.40032665639445,5.98739445300462,3.6322)); -#11196=CARTESIAN_POINT('',(1.44885670261941,5.98739445300462,3.6322)); -#11197=CARTESIAN_POINT('',(1.49738674884438,5.98739445300462,3.6322)); -#11198=CARTESIAN_POINT('',(1.54591679506934,5.98739445300462,3.6322)); -#11199=CARTESIAN_POINT('',(1.54591679506934,5.98739445300462,3.6322)); -#11200=CARTESIAN_POINT('',(1.54591679506934,5.98739445300462,3.6322)); -#11201=CARTESIAN_POINT('',(1.54591679506934,5.98739445300462,3.6322)); -#11202=CARTESIAN_POINT('',(1.54591679506934,5.98739445300462,3.556)); -#11203=CARTESIAN_POINT('',(1.54591679506934,6.6621186440678,3.556)); -#11204=CARTESIAN_POINT('',(1.54591679506934,7.33684283513097,3.556)); -#11205=CARTESIAN_POINT('',(1.54591679506934,8.01156702619414,3.556)); -#11206=CARTESIAN_POINT('',(1.54591679506934,8.01156702619414,3.556)); -#11207=CARTESIAN_POINT('',(1.54591679506934,5.98739445300462,3.6322)); -#11208=CARTESIAN_POINT('',(1.54591679506934,6.6621186440678,3.6322)); -#11209=CARTESIAN_POINT('',(1.54591679506934,7.33684283513097,3.6322)); -#11210=CARTESIAN_POINT('',(1.54591679506934,8.01156702619414,3.6322)); -#11211=CARTESIAN_POINT('',(1.54591679506934,8.01156702619414,3.6322)); -#11212=CARTESIAN_POINT('',(1.54591679506934,8.01156702619414,3.6322)); -#11213=CARTESIAN_POINT('',(1.54591679506934,8.01156702619414,3.6322)); -#11214=CARTESIAN_POINT('',(1.54591679506934,8.01156702619414,3.556)); -#11215=CARTESIAN_POINT('',(1.49738674884438,8.01208885464818,3.556)); -#11216=CARTESIAN_POINT('',(1.44885670261941,8.01261068310221,3.556)); -#11217=CARTESIAN_POINT('',(1.40032665639445,8.01313251155624,3.556)); -#11218=CARTESIAN_POINT('',(1.40032665639445,8.01313251155624,3.556)); -#11219=CARTESIAN_POINT('',(1.54591679506934,8.01156702619414,3.6322)); -#11220=CARTESIAN_POINT('',(1.49738674884438,8.01208885464818,3.6322)); -#11221=CARTESIAN_POINT('',(1.44885670261941,8.01261068310221,3.6322)); -#11222=CARTESIAN_POINT('',(1.40032665639445,8.01313251155624,3.6322)); -#11223=CARTESIAN_POINT('',(1.40032665639445,8.01313251155624,3.6322)); -#11224=CARTESIAN_POINT('',(1.40032665639445,8.01313251155624,3.6322)); -#11225=CARTESIAN_POINT('',(1.40032665639445,8.01313251155624,3.6322)); -#11226=CARTESIAN_POINT('',(1.40032665639445,8.01313251155624,3.556)); -#11227=CARTESIAN_POINT('',(1.40032665639445,7.82997072419106,3.556)); -#11228=CARTESIAN_POINT('',(1.40032665639445,7.64680893682588,3.556)); -#11229=CARTESIAN_POINT('',(1.40032665639445,7.46364714946071,3.556)); -#11230=CARTESIAN_POINT('',(1.40032665639445,8.01313251155624,3.6322)); -#11231=CARTESIAN_POINT('',(1.40032665639445,7.82997072419106,3.6322)); -#11232=CARTESIAN_POINT('',(1.40032665639445,7.64680893682588,3.6322)); -#11233=CARTESIAN_POINT('',(1.40032665639445,7.46364714946071,3.6322)); -#11234=CARTESIAN_POINT('',(0.745953775038521,6.99113908176445,3.6322)); -#11235=CARTESIAN_POINT('',(0.745953775038521,6.99113908176445,3.556)); -#11236=CARTESIAN_POINT('',(2.0438230682191,6.19354562931941,3.6322)); -#11237=CARTESIAN_POINT('',(2.0438230682191,6.19354562931941,3.556)); -#11238=CARTESIAN_POINT('',(1.98000967729299,6.44904710634297,3.6322)); -#11239=CARTESIAN_POINT('',(1.98000967729299,6.44904710634297,3.556)); -#11240=CARTESIAN_POINT('',(2.00150255723115,6.71083430458693,3.6322)); -#11241=CARTESIAN_POINT('',(2.00150255723115,6.71083430458693,3.556)); -#11242=CARTESIAN_POINT('',(1.98596798029109,6.96939107531606,3.6322)); -#11243=CARTESIAN_POINT('',(1.98596798029109,6.96939107531606,3.556)); -#11244=CARTESIAN_POINT('',(2.02223314003278,7.22502097777899,3.6322)); -#11245=CARTESIAN_POINT('',(2.02223314003278,7.22502097777899,3.556)); -#11246=CARTESIAN_POINT('',(2.21619906342587,7.35639286474453,3.6322)); -#11247=CARTESIAN_POINT('',(2.21619906342587,7.35639286474453,3.556)); -#11248=CARTESIAN_POINT('',(2.4834816184049,7.28571748837721,3.6322)); -#11249=CARTESIAN_POINT('',(2.4834816184049,7.28571748837721,3.556)); -#11250=CARTESIAN_POINT('',(2.57650368181223,7.02958417688532,3.6322)); -#11251=CARTESIAN_POINT('',(2.57650368181223,7.02958417688532,3.556)); -#11252=CARTESIAN_POINT('',(2.54880807475483,6.74594779768504,3.6322)); -#11253=CARTESIAN_POINT('',(2.54880807475483,6.74594779768504,3.556)); -#11254=CARTESIAN_POINT('',(2.5602037864496,6.52149866949064,3.6322)); -#11255=CARTESIAN_POINT('',(2.5602037864496,6.52149866949064,3.556)); -#11256=CARTESIAN_POINT('',(2.54864001000492,6.320794551126,3.6322)); -#11257=CARTESIAN_POINT('',(2.54864001000492,6.320794551126,3.556)); -#11258=CARTESIAN_POINT('',(2.46006714565765,6.18640531858416,3.6322)); -#11259=CARTESIAN_POINT('',(2.46006714565765,6.18640531858416,3.556)); -#11260=CARTESIAN_POINT('',(2.25822832971019,6.08867550128637,3.6322)); -#11261=CARTESIAN_POINT('',(2.25822832971019,6.08867550128637,3.556)); -#11262=CARTESIAN_POINT('',(2.0438230682191,6.19354562931941,3.6322)); -#11263=CARTESIAN_POINT('',(2.0438230682191,6.19354562931941,3.556)); -#11264=CARTESIAN_POINT('',(1.98000967729299,6.44904710634297,3.6322)); -#11265=CARTESIAN_POINT('',(1.98000967729299,6.44904710634297,3.556)); -#11266=CARTESIAN_POINT('',(2.00150255723115,6.71083430458693,3.6322)); -#11267=CARTESIAN_POINT('',(2.00150255723115,6.71083430458693,3.556)); -#11268=CARTESIAN_POINT('',(1.99521109399075,6.44295069337442,3.6322)); -#11269=CARTESIAN_POINT('',(1.99521109399075,6.63080893682589,3.6322)); -#11270=CARTESIAN_POINT('',(1.99521109399076,6.81866718027735,3.6322)); -#11271=CARTESIAN_POINT('',(1.99521109399075,7.00652542372881,3.6322)); -#11272=CARTESIAN_POINT('',(1.99521109399075,7.09262711864407,3.6322)); -#11273=CARTESIAN_POINT('',(2.01712788906009,7.16620493066256,3.6322)); -#11274=CARTESIAN_POINT('',(2.06252696456086,7.22569337442219,3.6322)); -#11275=CARTESIAN_POINT('',(2.11342956037398,7.29239332755662,3.6322)); -#11276=CARTESIAN_POINT('',(2.17993836671803,7.3274499229584,3.6322)); -#11277=CARTESIAN_POINT('',(2.33492141756548,7.3274499229584,3.6322)); -#11278=CARTESIAN_POINT('',(2.3991063174114,7.30240215716487,3.6322)); -#11279=CARTESIAN_POINT('',(2.45389830508475,7.255437596302,3.6322)); -#11280=CARTESIAN_POINT('',(2.52287174839119,7.19631750203934,3.6322)); -#11281=CARTESIAN_POINT('',(2.55565485362096,7.11297842835131,3.6322)); -#11282=CARTESIAN_POINT('',(2.55565485362096,7.009656394453,3.6322)); -#11283=CARTESIAN_POINT('',(2.55565485362096,6.82075449409348,3.6322)); -#11284=CARTESIAN_POINT('',(2.55565485362096,6.63185259373395,3.6322)); -#11285=CARTESIAN_POINT('',(2.55565485362096,6.44295069337442,3.6322)); -#11286=CARTESIAN_POINT('',(2.55565485362096,6.34275963020031,3.6322)); -#11287=CARTESIAN_POINT('',(2.52121417565485,6.26135439137134,3.6322)); -#11288=CARTESIAN_POINT('',(2.45233281972265,6.20186594761171,3.6322)); -#11289=CARTESIAN_POINT('',(2.39282584950903,6.1504735642454,3.6322)); -#11290=CARTESIAN_POINT('',(2.33179044684129,6.12359167950693,3.6322)); -#11291=CARTESIAN_POINT('',(2.18306933744222,6.12359167950693,3.6322)); -#11292=CARTESIAN_POINT('',(2.11575346687211,6.15803235747303,3.6322)); -#11293=CARTESIAN_POINT('',(2.06252696456086,6.22534822804314,3.6322)); -#11294=CARTESIAN_POINT('',(2.01764311868509,6.28211309194486,3.6322)); -#11295=CARTESIAN_POINT('',(1.99521109399075,6.35528351309707,3.6322)); -#11296=CARTESIAN_POINT('',(1.99521109399075,6.44295069337442,3.6322)); -#11297=CARTESIAN_POINT('',(1.99521109399075,6.44295069337442,3.6322)); -#11298=CARTESIAN_POINT('',(1.99521109399075,6.44295069337442,3.556)); -#11299=CARTESIAN_POINT('',(1.99521109399075,6.63080893682589,3.556)); -#11300=CARTESIAN_POINT('',(1.99521109399076,6.81866718027735,3.556)); -#11301=CARTESIAN_POINT('',(1.99521109399075,7.00652542372881,3.556)); -#11302=CARTESIAN_POINT('',(1.99521109399075,7.09262711864407,3.556)); -#11303=CARTESIAN_POINT('',(2.01712788906009,7.16620493066256,3.556)); -#11304=CARTESIAN_POINT('',(2.06252696456086,7.22569337442219,3.556)); -#11305=CARTESIAN_POINT('',(2.11342956037398,7.29239332755662,3.556)); -#11306=CARTESIAN_POINT('',(2.17993836671803,7.3274499229584,3.556)); -#11307=CARTESIAN_POINT('',(2.33492141756548,7.3274499229584,3.556)); -#11308=CARTESIAN_POINT('',(2.3991063174114,7.30240215716487,3.556)); -#11309=CARTESIAN_POINT('',(2.45389830508475,7.255437596302,3.556)); -#11310=CARTESIAN_POINT('',(2.52287174839119,7.19631750203934,3.556)); -#11311=CARTESIAN_POINT('',(2.55565485362096,7.11297842835131,3.556)); -#11312=CARTESIAN_POINT('',(2.55565485362096,7.009656394453,3.556)); -#11313=CARTESIAN_POINT('',(2.55565485362096,6.82075449409348,3.556)); -#11314=CARTESIAN_POINT('',(2.55565485362096,6.63185259373395,3.556)); -#11315=CARTESIAN_POINT('',(2.55565485362096,6.44295069337442,3.556)); -#11316=CARTESIAN_POINT('',(2.55565485362096,6.34275963020031,3.556)); -#11317=CARTESIAN_POINT('',(2.52121417565485,6.26135439137134,3.556)); -#11318=CARTESIAN_POINT('',(2.45233281972265,6.20186594761171,3.556)); -#11319=CARTESIAN_POINT('',(2.39282584950903,6.1504735642454,3.556)); -#11320=CARTESIAN_POINT('',(2.33179044684129,6.12359167950693,3.556)); -#11321=CARTESIAN_POINT('',(2.18306933744222,6.12359167950693,3.556)); -#11322=CARTESIAN_POINT('',(2.11575346687211,6.15803235747303,3.556)); -#11323=CARTESIAN_POINT('',(2.06252696456086,6.22534822804314,3.556)); -#11324=CARTESIAN_POINT('',(2.01764311868509,6.28211309194486,3.556)); -#11325=CARTESIAN_POINT('',(1.99521109399075,6.35528351309707,3.556)); -#11326=CARTESIAN_POINT('',(1.99521109399075,6.44295069337442,3.556)); -#11327=CARTESIAN_POINT('',(1.99521109399075,6.44295069337442,3.556)); -#11328=CARTESIAN_POINT('',(1.85681727406438,6.7327511586465,3.6322)); -#11329=CARTESIAN_POINT('',(1.85681727406438,6.7327511586465,3.556)); -#11330=CARTESIAN_POINT('',(1.83309560556841,6.43825951404365,3.6322)); -#11331=CARTESIAN_POINT('',(1.83309560556841,6.43825951404365,3.556)); -#11332=CARTESIAN_POINT('',(1.88635607451839,6.17191473198578,3.6322)); -#11333=CARTESIAN_POINT('',(1.88635607451839,6.17191473198578,3.556)); -#11334=CARTESIAN_POINT('',(2.135395469862,5.94047659276656,3.6322)); -#11335=CARTESIAN_POINT('',(2.135395469862,5.94047659276656,3.556)); -#11336=CARTESIAN_POINT('',(2.47406822285866,5.97691336877393,3.6322)); -#11337=CARTESIAN_POINT('',(2.47406822285866,5.97691336877393,3.556)); -#11338=CARTESIAN_POINT('',(2.67083989127528,6.21494635710024,3.6322)); -#11339=CARTESIAN_POINT('',(2.67083989127528,6.21494635710024,3.556)); -#11340=CARTESIAN_POINT('',(2.7148455586403,6.43112609852819,3.6322)); -#11341=CARTESIAN_POINT('',(2.7148455586403,6.43112609852819,3.556)); -#11342=CARTESIAN_POINT('',(2.69552154017036,6.70136842277059,3.6322)); -#11343=CARTESIAN_POINT('',(2.69552154017036,6.70136842277059,3.556)); -#11344=CARTESIAN_POINT('',(2.70597609202745,6.96028120487325,3.6322)); -#11345=CARTESIAN_POINT('',(2.70597609202745,6.96028120487325,3.556)); -#11346=CARTESIAN_POINT('',(2.69271534882178,7.18544953137881,3.6322)); -#11347=CARTESIAN_POINT('',(2.69271534882178,7.18544953137881,3.556)); -#11348=CARTESIAN_POINT('',(2.5728665013151,7.38458754231196,3.6322)); -#11349=CARTESIAN_POINT('',(2.5728665013151,7.38458754231196,3.556)); -#11350=CARTESIAN_POINT('',(2.30802783472201,7.51651027094128,3.6322)); -#11351=CARTESIAN_POINT('',(2.30802783472201,7.51651027094128,3.556)); -#11352=CARTESIAN_POINT('',(2.02023518167662,7.42256250050046,3.6322)); -#11353=CARTESIAN_POINT('',(2.02023518167662,7.42256250050046,3.556)); -#11354=CARTESIAN_POINT('',(1.87523658622089,7.23157909155538,3.6322)); -#11355=CARTESIAN_POINT('',(1.87523658622089,7.23157909155538,3.556)); -#11356=CARTESIAN_POINT('',(1.83410372149885,7.0093093013199,3.6322)); -#11357=CARTESIAN_POINT('',(1.83410372149885,7.0093093013199,3.556)); -#11358=CARTESIAN_POINT('',(1.85681727406438,6.7327511586465,3.6322)); -#11359=CARTESIAN_POINT('',(1.85681727406438,6.7327511586465,3.556)); -#11360=CARTESIAN_POINT('',(1.83309560556841,6.43825951404365,3.6322)); -#11361=CARTESIAN_POINT('',(1.83309560556841,6.43825951404365,3.556)); -#11362=CARTESIAN_POINT('',(1.88635607451839,6.17191473198578,3.6322)); -#11363=CARTESIAN_POINT('',(1.88635607451839,6.17191473198578,3.556)); -#11364=CARTESIAN_POINT('',(1.84805546995378,6.41164098613251,3.6322)); -#11365=CARTESIAN_POINT('',(1.84805546995378,6.29109861325115,3.6322)); -#11366=CARTESIAN_POINT('',(1.88875808936826,6.18934206471495,3.6322)); -#11367=CARTESIAN_POINT('',(2.05156856702619,6.02026964560863,3.6322)); -#11368=CARTESIAN_POINT('',(2.15175963020031,5.97800154083205,3.6322)); -#11369=CARTESIAN_POINT('',(2.38814791987673,5.97800154083205,3.6322)); -#11370=CARTESIAN_POINT('',(2.48990446841294,6.02183513097072,3.6322)); -#11371=CARTESIAN_POINT('',(2.5744406779661,6.10793682588598,3.6322)); -#11372=CARTESIAN_POINT('',(2.65975949844763,6.19483562452457,3.6322)); -#11373=CARTESIAN_POINT('',(2.70124499229584,6.29736055469954,3.6322)); -#11374=CARTESIAN_POINT('',(2.70124499229584,6.4147719568567,3.6322)); -#11375=CARTESIAN_POINT('',(2.70124499229584,6.62193785310734,3.6322)); -#11376=CARTESIAN_POINT('',(2.70124499229584,6.82910374935799,3.6322)); -#11377=CARTESIAN_POINT('',(2.70124499229584,7.03626964560863,3.6322)); -#11378=CARTESIAN_POINT('',(2.70124499229584,7.15681201848998,3.6322)); -#11379=CARTESIAN_POINT('',(2.66054237288136,7.26013405238829,3.6322)); -#11380=CARTESIAN_POINT('',(2.57757164869029,7.34623574730354,3.6322)); -#11381=CARTESIAN_POINT('',(2.49538313050377,7.43152571900653,3.6322)); -#11382=CARTESIAN_POINT('',(2.39284437596302,7.47304006163328,3.6322)); -#11383=CARTESIAN_POINT('',(2.15175963020031,7.47304006163328,3.6322)); -#11384=CARTESIAN_POINT('',(2.05156856702619,7.42920647149461,3.6322)); -#11385=CARTESIAN_POINT('',(1.97016332819723,7.34467026194145,3.6322)); -#11386=CARTESIAN_POINT('',(1.88797590379944,7.25932178275913,3.6322)); -#11387=CARTESIAN_POINT('',(1.84805546995378,7.15524653312789,3.6322)); -#11388=CARTESIAN_POINT('',(1.84805546995378,7.03626964560863,3.6322)); -#11389=CARTESIAN_POINT('',(1.84805546995378,6.82806009244992,3.6322)); -#11390=CARTESIAN_POINT('',(1.84805546995378,6.61985053929122,3.6322)); -#11391=CARTESIAN_POINT('',(1.84805546995378,6.41164098613251,3.6322)); -#11392=CARTESIAN_POINT('',(1.84805546995378,6.41164098613251,3.6322)); -#11393=CARTESIAN_POINT('',(1.84805546995378,6.41164098613251,3.556)); -#11394=CARTESIAN_POINT('',(1.84805546995378,6.29109861325115,3.556)); -#11395=CARTESIAN_POINT('',(1.88875808936826,6.18934206471495,3.556)); -#11396=CARTESIAN_POINT('',(2.05156856702619,6.02026964560863,3.556)); -#11397=CARTESIAN_POINT('',(2.15175963020031,5.97800154083205,3.556)); -#11398=CARTESIAN_POINT('',(2.38814791987673,5.97800154083205,3.556)); -#11399=CARTESIAN_POINT('',(2.48990446841294,6.02183513097072,3.556)); -#11400=CARTESIAN_POINT('',(2.5744406779661,6.10793682588598,3.556)); -#11401=CARTESIAN_POINT('',(2.65975949844763,6.19483562452457,3.556)); -#11402=CARTESIAN_POINT('',(2.70124499229584,6.29736055469954,3.556)); -#11403=CARTESIAN_POINT('',(2.70124499229584,6.4147719568567,3.556)); -#11404=CARTESIAN_POINT('',(2.70124499229584,6.62193785310734,3.556)); -#11405=CARTESIAN_POINT('',(2.70124499229584,6.82910374935799,3.556)); -#11406=CARTESIAN_POINT('',(2.70124499229584,7.03626964560863,3.556)); -#11407=CARTESIAN_POINT('',(2.70124499229584,7.15681201848998,3.556)); -#11408=CARTESIAN_POINT('',(2.66054237288136,7.26013405238829,3.556)); -#11409=CARTESIAN_POINT('',(2.57757164869029,7.34623574730354,3.556)); -#11410=CARTESIAN_POINT('',(2.49538313050377,7.43152571900653,3.556)); -#11411=CARTESIAN_POINT('',(2.39284437596302,7.47304006163328,3.556)); -#11412=CARTESIAN_POINT('',(2.15175963020031,7.47304006163328,3.556)); -#11413=CARTESIAN_POINT('',(2.05156856702619,7.42920647149461,3.556)); -#11414=CARTESIAN_POINT('',(1.97016332819723,7.34467026194145,3.556)); -#11415=CARTESIAN_POINT('',(1.88797590379944,7.25932178275913,3.556)); -#11416=CARTESIAN_POINT('',(1.84805546995378,7.15524653312789,3.556)); -#11417=CARTESIAN_POINT('',(1.84805546995378,7.03626964560863,3.556)); -#11418=CARTESIAN_POINT('',(1.84805546995378,6.82806009244992,3.556)); -#11419=CARTESIAN_POINT('',(1.84805546995378,6.61985053929122,3.556)); -#11420=CARTESIAN_POINT('',(1.84805546995378,6.41164098613251,3.556)); -#11421=CARTESIAN_POINT('',(1.84805546995378,6.41164098613251,3.556)); -#11422=CARTESIAN_POINT('',(2.70122481997491,7.04090874038822,3.6322)); -#11423=CARTESIAN_POINT('',(2.70122481997491,7.04090874038822,3.556)); -#11424=CARTESIAN_POINT('',(3.00808012326656,8.00843605546995,3.6322)); -#11425=CARTESIAN_POINT('',(3.00808012326656,8.00843605546995,3.556)); -#11426=CARTESIAN_POINT('',(3.00808012326656,7.41459527478171,3.556)); -#11427=CARTESIAN_POINT('',(3.00808012326656,6.82075449409348,3.556)); -#11428=CARTESIAN_POINT('',(3.00808012326656,6.22691371340524,3.556)); -#11429=CARTESIAN_POINT('',(3.00808012326656,8.00843605546995,3.556)); -#11430=CARTESIAN_POINT('',(3.00808012326656,6.22691371340524,3.556)); -#11431=CARTESIAN_POINT('',(3.00808012326656,8.00843605546995,3.6322)); -#11432=CARTESIAN_POINT('',(3.00808012326656,8.00843605546995,3.6322)); -#11433=CARTESIAN_POINT('',(3.00808012326656,8.00843605546995,3.6322)); -#11434=CARTESIAN_POINT('',(3.00808012326656,7.41459527478171,3.6322)); -#11435=CARTESIAN_POINT('',(3.00808012326656,6.82075449409348,3.6322)); -#11436=CARTESIAN_POINT('',(3.00808012326656,6.22691371340524,3.6322)); -#11437=CARTESIAN_POINT('',(3.00808012326656,6.22691371340524,3.6322)); -#11438=CARTESIAN_POINT('',(3.00808012326656,6.22691371340524,3.6322)); -#11439=CARTESIAN_POINT('',(3.00808012326656,6.22691371340524,3.6322)); -#11440=CARTESIAN_POINT('',(3.00808012326656,6.22691371340524,3.556)); -#11441=CARTESIAN_POINT('',(3.00808012326656,6.14027571885025,3.6322)); -#11442=CARTESIAN_POINT('',(3.00808012326656,6.14027571885025,3.556)); -#11443=CARTESIAN_POINT('',(3.09106030694482,5.99163173151747,3.6322)); -#11444=CARTESIAN_POINT('',(3.09106030694482,5.99163173151747,3.556)); -#11445=CARTESIAN_POINT('',(3.42821421458129,5.98485208589691,3.6322)); -#11446=CARTESIAN_POINT('',(3.42821421458129,5.98485208589691,3.556)); -#11447=CARTESIAN_POINT('',(3.6555217224095,5.98739445300462,3.6322)); -#11448=CARTESIAN_POINT('',(3.6555217224095,5.98739445300462,3.556)); -#11449=CARTESIAN_POINT('',(3.81273959938367,5.98739445300462,3.6322)); -#11450=CARTESIAN_POINT('',(3.81273959938367,5.98739445300462,3.556)); -#11451=CARTESIAN_POINT('',(3.00808012326656,6.22691371340524,3.556)); -#11452=CARTESIAN_POINT('',(3.00808012326656,6.15646687211094,3.556)); -#11453=CARTESIAN_POINT('',(3.031562403698,6.0985439137134,3.556)); -#11454=CARTESIAN_POINT('',(3.07852696456086,6.05471032357473,3.556)); -#11455=CARTESIAN_POINT('',(3.1262724088585,6.01014790889693,3.556)); -#11456=CARTESIAN_POINT('',(3.18497996918336,5.98739445300462,3.556)); -#11457=CARTESIAN_POINT('',(3.25699229583975,5.98739445300462,3.556)); -#11458=CARTESIAN_POINT('',(3.44224139702106,5.98739445300462,3.556)); -#11459=CARTESIAN_POINT('',(3.62749049820236,5.98739445300462,3.556)); -#11460=CARTESIAN_POINT('',(3.81273959938367,5.98739445300462,3.556)); -#11461=CARTESIAN_POINT('',(3.81273959938367,5.98739445300462,3.556)); -#11462=CARTESIAN_POINT('',(3.00808012326656,6.22691371340524,3.6322)); -#11463=CARTESIAN_POINT('',(3.00808012326656,6.15646687211094,3.6322)); -#11464=CARTESIAN_POINT('',(3.031562403698,6.0985439137134,3.6322)); -#11465=CARTESIAN_POINT('',(3.07852696456086,6.05471032357473,3.6322)); -#11466=CARTESIAN_POINT('',(3.1262724088585,6.01014790889693,3.6322)); -#11467=CARTESIAN_POINT('',(3.18497996918336,5.98739445300462,3.6322)); -#11468=CARTESIAN_POINT('',(3.25699229583975,5.98739445300462,3.6322)); -#11469=CARTESIAN_POINT('',(3.44224139702106,5.98739445300462,3.6322)); -#11470=CARTESIAN_POINT('',(3.62749049820236,5.98739445300462,3.6322)); -#11471=CARTESIAN_POINT('',(3.81273959938367,5.98739445300462,3.6322)); -#11472=CARTESIAN_POINT('',(3.81273959938367,5.98739445300462,3.6322)); -#11473=CARTESIAN_POINT('',(3.81273959938367,5.98739445300462,3.6322)); -#11474=CARTESIAN_POINT('',(3.81273959938367,5.98739445300462,3.6322)); -#11475=CARTESIAN_POINT('',(3.81273959938367,5.98739445300462,3.556)); -#11476=CARTESIAN_POINT('',(3.81273959938367,6.03644632768361,3.556)); -#11477=CARTESIAN_POINT('',(3.81273959938367,6.08549820236261,3.556)); -#11478=CARTESIAN_POINT('',(3.81273959938367,6.1345500770416,3.556)); -#11479=CARTESIAN_POINT('',(3.81273959938367,6.1345500770416,3.556)); -#11480=CARTESIAN_POINT('',(3.81273959938367,5.98739445300462,3.6322)); -#11481=CARTESIAN_POINT('',(3.81273959938367,6.03644632768361,3.6322)); -#11482=CARTESIAN_POINT('',(3.81273959938367,6.08549820236261,3.6322)); -#11483=CARTESIAN_POINT('',(3.81273959938367,6.1345500770416,3.6322)); -#11484=CARTESIAN_POINT('',(3.81273959938367,6.1345500770416,3.6322)); -#11485=CARTESIAN_POINT('',(3.81273959938367,6.1345500770416,3.6322)); -#11486=CARTESIAN_POINT('',(3.81273959938367,6.1345500770416,3.6322)); -#11487=CARTESIAN_POINT('',(3.81273959938367,6.1345500770416,3.556)); -#11488=CARTESIAN_POINT('',(3.70976045597555,6.1345500770416,3.6322)); -#11489=CARTESIAN_POINT('',(3.70976045597555,6.1345500770416,3.556)); -#11490=CARTESIAN_POINT('',(3.55058870988675,6.13722153246479,3.6322)); -#11491=CARTESIAN_POINT('',(3.55058870988675,6.13722153246479,3.556)); -#11492=CARTESIAN_POINT('',(3.35717183900816,6.13009765133628,3.6322)); -#11493=CARTESIAN_POINT('',(3.35717183900816,6.13009765133628,3.556)); -#11494=CARTESIAN_POINT('',(3.16507887608301,6.15146929472182,3.6322)); -#11495=CARTESIAN_POINT('',(3.16507887608301,6.15146929472182,3.556)); -#11496=CARTESIAN_POINT('',(3.15265699378131,6.30960749988947,3.6322)); -#11497=CARTESIAN_POINT('',(3.15265699378131,6.30960749988947,3.556)); -#11498=CARTESIAN_POINT('',(3.1567245122236,6.51442860096074,3.6322)); -#11499=CARTESIAN_POINT('',(3.1567245122236,6.51442860096074,3.556)); -#11500=CARTESIAN_POINT('',(3.15473063063424,6.77252384930278,3.6322)); -#11501=CARTESIAN_POINT('',(3.15473063063424,6.77252384930278,3.556)); -#11502=CARTESIAN_POINT('',(3.15536867274283,7.08135232042746,3.6322)); -#11503=CARTESIAN_POINT('',(3.15536867274283,7.08135232042746,3.556)); -#11504=CARTESIAN_POINT('',(3.15515599203997,7.49343443171151,3.6322)); -#11505=CARTESIAN_POINT('',(3.15515599203997,7.49343443171151,3.556)); -#11506=CARTESIAN_POINT('',(3.15523574730354,7.80242608147304,3.6322)); -#11507=CARTESIAN_POINT('',(3.15523574730354,7.80242608147304,3.556)); -#11508=CARTESIAN_POINT('',(3.15523574730354,8.00843605546995,3.6322)); -#11509=CARTESIAN_POINT('',(3.15523574730354,8.00843605546995,3.556)); -#11510=CARTESIAN_POINT('',(3.81273959938367,6.1345500770416,3.556)); -#11511=CARTESIAN_POINT('',(3.65306009244992,6.1345500770416,3.556)); -#11512=CARTESIAN_POINT('',(3.49338058551618,6.1345500770416,3.556)); -#11513=CARTESIAN_POINT('',(3.33370107858243,6.1345500770416,3.556)); -#11514=CARTESIAN_POINT('',(3.21472419106317,6.1345500770416,3.556)); -#11515=CARTESIAN_POINT('',(3.15523574730354,6.18151463790447,3.556)); -#11516=CARTESIAN_POINT('',(3.15523574730354,6.27857473035439,3.556)); -#11517=CARTESIAN_POINT('',(3.15523574730354,6.85519517205958,3.556)); -#11518=CARTESIAN_POINT('',(3.15523574730354,7.43181561376477,3.556)); -#11519=CARTESIAN_POINT('',(3.15523574730354,8.00843605546995,3.556)); -#11520=CARTESIAN_POINT('',(3.15523574730354,8.00843605546995,3.556)); -#11521=CARTESIAN_POINT('',(3.81273959938367,6.1345500770416,3.6322)); -#11522=CARTESIAN_POINT('',(3.65306009244992,6.1345500770416,3.6322)); -#11523=CARTESIAN_POINT('',(3.49338058551618,6.1345500770416,3.6322)); -#11524=CARTESIAN_POINT('',(3.33370107858243,6.1345500770416,3.6322)); -#11525=CARTESIAN_POINT('',(3.21472419106317,6.1345500770416,3.6322)); -#11526=CARTESIAN_POINT('',(3.15523574730354,6.18151463790447,3.6322)); -#11527=CARTESIAN_POINT('',(3.15523574730354,6.27857473035439,3.6322)); -#11528=CARTESIAN_POINT('',(3.15523574730354,6.85519517205958,3.6322)); -#11529=CARTESIAN_POINT('',(3.15523574730354,7.43181561376477,3.6322)); -#11530=CARTESIAN_POINT('',(3.15523574730354,8.00843605546995,3.6322)); -#11531=CARTESIAN_POINT('',(3.15523574730354,8.00843605546995,3.6322)); -#11532=CARTESIAN_POINT('',(3.15523574730354,8.00843605546995,3.6322)); -#11533=CARTESIAN_POINT('',(3.15523574730354,8.00843605546995,3.6322)); -#11534=CARTESIAN_POINT('',(3.15523574730354,8.00843605546995,3.556)); -#11535=CARTESIAN_POINT('',(3.10618387262455,8.00843605546995,3.556)); -#11536=CARTESIAN_POINT('',(3.05713199794556,8.00843605546995,3.556)); -#11537=CARTESIAN_POINT('',(3.00808012326656,8.00843605546995,3.556)); -#11538=CARTESIAN_POINT('',(3.15523574730354,8.00843605546995,3.6322)); -#11539=CARTESIAN_POINT('',(3.10618387262455,8.00843605546995,3.6322)); -#11540=CARTESIAN_POINT('',(3.05713199794556,8.00843605546995,3.6322)); -#11541=CARTESIAN_POINT('',(3.00808012326656,8.00843605546995,3.6322)); -#11542=CARTESIAN_POINT('',(3.34108596846116,5.98739445300462,3.6322)); -#11543=CARTESIAN_POINT('',(3.34108596846116,5.98739445300462,3.556)); -#11544=CARTESIAN_POINT('',(0.439118644067796,7.46364714946071,3.6322)); -#11545=CARTESIAN_POINT('',(0.439118644067796,7.46364714946071,3.556)); -#11546=CARTESIAN_POINT('',(0.349494590261965,7.46364714946071,3.6322)); -#11547=CARTESIAN_POINT('',(0.349494590261965,7.46364714946071,3.556)); -#11548=CARTESIAN_POINT('',(0.211491234232076,7.4633303844685,3.6322)); -#11549=CARTESIAN_POINT('',(0.211491234232076,7.4633303844685,3.556)); -#11550=CARTESIAN_POINT('',(0.0417558608101294,7.4641750911144,3.6322)); -#11551=CARTESIAN_POINT('',(0.0417558608101294,7.4641750911144,3.556)); -#11552=CARTESIAN_POINT('',(-0.175543183560396,7.46079626453081,3.6322)); -#11553=CARTESIAN_POINT('',(-0.175543183560396,7.46079626453081,3.556)); -#11554=CARTESIAN_POINT('',(-0.347686156108326,7.34775345734904,3.6322)); -#11555=CARTESIAN_POINT('',(-0.347686156108326,7.34775345734904,3.556)); -#11556=CARTESIAN_POINT('',(-0.350474663274553,7.04669538257018,3.6322)); -#11557=CARTESIAN_POINT('',(-0.350474663274553,7.04669538257018,3.556)); -#11558=CARTESIAN_POINT('',(-0.349731061363559,6.78640613138239,3.6322)); -#11559=CARTESIAN_POINT('',(-0.349731061363559,6.78640613138239,3.556)); -#11560=CARTESIAN_POINT('',(-0.349978928667224,6.4250489460825,3.6322)); -#11561=CARTESIAN_POINT('',(-0.349978928667224,6.4250489460825,3.556)); -#11562=CARTESIAN_POINT('',(-0.349885978428349,6.15724964844371,3.6322)); -#11563=CARTESIAN_POINT('',(-0.349885978428349,6.15724964844371,3.556)); -#11564=CARTESIAN_POINT('',(-0.349885978428349,5.97800154083205,3.6322)); -#11565=CARTESIAN_POINT('',(-0.349885978428349,5.97800154083205,3.556)); -#11566=CARTESIAN_POINT('',(0.439118644067796,7.46364714946071,3.556)); -#11567=CARTESIAN_POINT('',(0.290397534668721,7.46364714946071,3.556)); -#11568=CARTESIAN_POINT('',(0.141676425269646,7.46364714946071,3.556)); -#11569=CARTESIAN_POINT('',(-0.00704468412942953,7.46364714946071,3.556)); -#11570=CARTESIAN_POINT('',(-0.235605546995377,7.46364714946071,3.556)); -#11571=CARTESIAN_POINT('',(-0.349885978428349,7.35875963020031,3.556)); -#11572=CARTESIAN_POINT('',(-0.349885978428349,7.14898459167951,3.556)); -#11573=CARTESIAN_POINT('',(-0.349885978428349,6.75865690806369,3.556)); -#11574=CARTESIAN_POINT('',(-0.349885978428349,6.36832922444787,3.556)); -#11575=CARTESIAN_POINT('',(-0.349885978428349,5.97800154083205,3.556)); -#11576=CARTESIAN_POINT('',(0.439118644067796,7.46364714946071,3.556)); -#11577=CARTESIAN_POINT('',(-0.349885978428349,5.97800154083205,3.556)); -#11578=CARTESIAN_POINT('',(0.439118644067796,7.46364714946071,3.6322)); -#11579=CARTESIAN_POINT('',(0.439118644067796,7.46364714946071,3.6322)); -#11580=CARTESIAN_POINT('',(0.439118644067796,7.46364714946071,3.6322)); -#11581=CARTESIAN_POINT('',(0.290397534668721,7.46364714946071,3.6322)); -#11582=CARTESIAN_POINT('',(0.141676425269646,7.46364714946071,3.6322)); -#11583=CARTESIAN_POINT('',(-0.00704468412942953,7.46364714946071,3.6322)); -#11584=CARTESIAN_POINT('',(-0.235605546995377,7.46364714946071,3.6322)); -#11585=CARTESIAN_POINT('',(-0.349885978428349,7.35875963020031,3.6322)); -#11586=CARTESIAN_POINT('',(-0.349885978428349,7.14898459167951,3.6322)); -#11587=CARTESIAN_POINT('',(-0.349885978428349,6.75865690806369,3.6322)); -#11588=CARTESIAN_POINT('',(-0.349885978428349,6.36832922444787,3.6322)); -#11589=CARTESIAN_POINT('',(-0.349885978428349,5.97800154083205,3.6322)); -#11590=CARTESIAN_POINT('',(-0.349885978428349,5.97800154083205,3.6322)); -#11591=CARTESIAN_POINT('',(-0.349885978428349,5.97800154083205,3.6322)); -#11592=CARTESIAN_POINT('',(-0.349885978428349,5.97800154083205,3.6322)); -#11593=CARTESIAN_POINT('',(-0.349885978428349,5.97800154083205,3.556)); -#11594=CARTESIAN_POINT('',(-0.301355932203388,5.97800154083205,3.556)); -#11595=CARTESIAN_POINT('',(-0.252825885978427,5.97800154083205,3.556)); -#11596=CARTESIAN_POINT('',(-0.204295839753466,5.97800154083205,3.556)); -#11597=CARTESIAN_POINT('',(-0.204295839753466,5.97800154083205,3.556)); -#11598=CARTESIAN_POINT('',(-0.349885978428349,5.97800154083205,3.6322)); -#11599=CARTESIAN_POINT('',(-0.301355932203388,5.97800154083205,3.6322)); -#11600=CARTESIAN_POINT('',(-0.252825885978427,5.97800154083205,3.6322)); -#11601=CARTESIAN_POINT('',(-0.204295839753466,5.97800154083205,3.6322)); -#11602=CARTESIAN_POINT('',(-0.204295839753466,5.97800154083205,3.6322)); -#11603=CARTESIAN_POINT('',(-0.204295839753466,5.97800154083205,3.6322)); -#11604=CARTESIAN_POINT('',(-0.204295839753466,5.97800154083205,3.6322)); -#11605=CARTESIAN_POINT('',(-0.204295839753466,5.97800154083205,3.556)); -#11606=CARTESIAN_POINT('',(-0.204295839753466,6.1239110278667,3.6322)); -#11607=CARTESIAN_POINT('',(-0.204295839753466,6.1239110278667,3.556)); -#11608=CARTESIAN_POINT('',(-0.205752392833609,6.41520713726521,3.6322)); -#11609=CARTESIAN_POINT('',(-0.205752392833609,6.41520713726521,3.556)); -#11610=CARTESIAN_POINT('',(-0.200290318783072,6.78194159736733,3.6322)); -#11611=CARTESIAN_POINT('',(-0.200290318783072,6.78194159736733,3.556)); -#11612=CARTESIAN_POINT('',(-0.211214466884147,7.06983908640565,3.6322)); -#11613=CARTESIAN_POINT('',(-0.211214466884147,7.06983908640565,3.556)); -#11614=CARTESIAN_POINT('',(-0.177452956636036,7.31423747746461,3.6322)); -#11615=CARTESIAN_POINT('',(-0.177452956636036,7.31423747746461,3.556)); -#11616=CARTESIAN_POINT('',(0.0846648509505408,7.3050589377616,3.6322)); -#11617=CARTESIAN_POINT('',(0.0846648509505408,7.3050589377616,3.556)); -#11618=CARTESIAN_POINT('',(0.220679762812473,7.30709861325116,3.6322)); -#11619=CARTESIAN_POINT('',(0.220679762812473,7.30709861325116,3.556)); -#11620=CARTESIAN_POINT('',(0.293528505392913,7.30709861325115,3.6322)); -#11621=CARTESIAN_POINT('',(0.293528505392913,7.30709861325115,3.556)); -#11622=CARTESIAN_POINT('',(-0.204295839753466,5.97800154083205,3.556)); -#11623=CARTESIAN_POINT('',(-0.204295839753466,6.34015048793015,3.556)); -#11624=CARTESIAN_POINT('',(-0.204295839753466,6.70229943502825,3.556)); -#11625=CARTESIAN_POINT('',(-0.204295839753466,7.06444838212635,3.556)); -#11626=CARTESIAN_POINT('',(-0.204295839753466,7.1505500770416,3.556)); -#11627=CARTESIAN_POINT('',(-0.188640986132511,7.21473497688752,3.556)); -#11628=CARTESIAN_POINT('',(-0.157331278890601,7.25387211093991,3.556)); -#11629=CARTESIAN_POINT('',(-0.126937953324063,7.29186376789808,3.556)); -#11630=CARTESIAN_POINT('',(-0.0774915254237286,7.30709861325115,3.556)); -#11631=CARTESIAN_POINT('',(-0.0133066255778119,7.30709861325115,3.556)); -#11632=CARTESIAN_POINT('',(0.0889717514124295,7.30709861325115,3.556)); -#11633=CARTESIAN_POINT('',(0.191250128402671,7.30709861325115,3.556)); -#11634=CARTESIAN_POINT('',(0.293528505392913,7.30709861325115,3.556)); -#11635=CARTESIAN_POINT('',(0.293528505392913,7.30709861325115,3.556)); -#11636=CARTESIAN_POINT('',(-0.204295839753466,5.97800154083205,3.6322)); -#11637=CARTESIAN_POINT('',(-0.204295839753466,6.34015048793015,3.6322)); -#11638=CARTESIAN_POINT('',(-0.204295839753466,6.70229943502825,3.6322)); -#11639=CARTESIAN_POINT('',(-0.204295839753466,7.06444838212635,3.6322)); -#11640=CARTESIAN_POINT('',(-0.204295839753466,7.1505500770416,3.6322)); -#11641=CARTESIAN_POINT('',(-0.188640986132511,7.21473497688752,3.6322)); -#11642=CARTESIAN_POINT('',(-0.157331278890601,7.25387211093991,3.6322)); -#11643=CARTESIAN_POINT('',(-0.126937953324063,7.29186376789808,3.6322)); -#11644=CARTESIAN_POINT('',(-0.0774915254237286,7.30709861325115,3.6322)); -#11645=CARTESIAN_POINT('',(-0.0133066255778119,7.30709861325115,3.6322)); -#11646=CARTESIAN_POINT('',(0.0889717514124295,7.30709861325115,3.6322)); -#11647=CARTESIAN_POINT('',(0.191250128402671,7.30709861325115,3.6322)); -#11648=CARTESIAN_POINT('',(0.293528505392913,7.30709861325115,3.6322)); -#11649=CARTESIAN_POINT('',(0.293528505392913,7.30709861325115,3.6322)); -#11650=CARTESIAN_POINT('',(0.293528505392913,7.30709861325115,3.6322)); -#11651=CARTESIAN_POINT('',(0.293528505392913,7.30709861325115,3.6322)); -#11652=CARTESIAN_POINT('',(0.293528505392913,7.30709861325115,3.556)); -#11653=CARTESIAN_POINT('',(0.293528505392913,6.86406625577812,3.556)); -#11654=CARTESIAN_POINT('',(0.293528505392913,6.42103389830508,3.556)); -#11655=CARTESIAN_POINT('',(0.293528505392913,5.97800154083205,3.556)); -#11656=CARTESIAN_POINT('',(0.293528505392913,5.97800154083205,3.556)); -#11657=CARTESIAN_POINT('',(0.293528505392913,7.30709861325115,3.6322)); -#11658=CARTESIAN_POINT('',(0.293528505392913,6.86406625577812,3.6322)); -#11659=CARTESIAN_POINT('',(0.293528505392913,6.42103389830508,3.6322)); -#11660=CARTESIAN_POINT('',(0.293528505392913,5.97800154083205,3.6322)); -#11661=CARTESIAN_POINT('',(0.293528505392913,5.97800154083205,3.6322)); -#11662=CARTESIAN_POINT('',(0.293528505392913,5.97800154083205,3.6322)); -#11663=CARTESIAN_POINT('',(0.293528505392913,5.97800154083205,3.6322)); -#11664=CARTESIAN_POINT('',(0.293528505392913,5.97800154083205,3.556)); -#11665=CARTESIAN_POINT('',(0.342058551617874,5.97904519774011,3.556)); -#11666=CARTESIAN_POINT('',(0.390588597842835,5.98008885464818,3.556)); -#11667=CARTESIAN_POINT('',(0.439118644067796,5.98113251155624,3.556)); -#11668=CARTESIAN_POINT('',(0.439118644067796,5.98113251155624,3.556)); -#11669=CARTESIAN_POINT('',(0.293528505392913,5.97800154083205,3.6322)); -#11670=CARTESIAN_POINT('',(0.342058551617874,5.97904519774011,3.6322)); -#11671=CARTESIAN_POINT('',(0.390588597842835,5.98008885464818,3.6322)); -#11672=CARTESIAN_POINT('',(0.439118644067796,5.98113251155624,3.6322)); -#11673=CARTESIAN_POINT('',(0.439118644067796,5.98113251155624,3.6322)); -#11674=CARTESIAN_POINT('',(0.439118644067796,5.98113251155624,3.6322)); -#11675=CARTESIAN_POINT('',(0.439118644067796,5.98113251155624,3.6322)); -#11676=CARTESIAN_POINT('',(0.439118644067796,5.98113251155624,3.556)); -#11677=CARTESIAN_POINT('',(0.439118644067796,6.4753040575244,3.556)); -#11678=CARTESIAN_POINT('',(0.439118644067797,6.96947560349255,3.556)); -#11679=CARTESIAN_POINT('',(0.439118644067796,7.46364714946071,3.556)); -#11680=CARTESIAN_POINT('',(0.439118644067796,5.98113251155624,3.6322)); -#11681=CARTESIAN_POINT('',(0.439118644067796,6.4753040575244,3.6322)); -#11682=CARTESIAN_POINT('',(0.439118644067797,6.96947560349255,3.6322)); -#11683=CARTESIAN_POINT('',(0.439118644067796,7.46364714946071,3.6322)); -#11684=CARTESIAN_POINT('',(-0.349885978428349,7.05349018650202,3.6322)); -#11685=CARTESIAN_POINT('',(-0.349885978428349,7.05349018650202,3.556)); -#11686=CARTESIAN_POINT('',(2.47268412942989,5.33928351309707,3.6322)); -#11687=CARTESIAN_POINT('',(2.47268412942989,5.33928351309707,3.556)); -#11688=CARTESIAN_POINT('',(2.47268412942989,3.30884899845917,3.556)); -#11689=CARTESIAN_POINT('',(2.47268412942989,5.33928351309707,3.556)); -#11690=CARTESIAN_POINT('',(2.47268412942989,3.30884899845917,3.556)); -#11691=CARTESIAN_POINT('',(2.47268412942989,5.33928351309707,3.6322)); -#11692=CARTESIAN_POINT('',(2.47268412942989,5.33928351309707,3.6322)); -#11693=CARTESIAN_POINT('',(2.47268412942989,5.33928351309707,3.6322)); -#11694=CARTESIAN_POINT('',(2.47268412942989,3.30884899845917,3.6322)); -#11695=CARTESIAN_POINT('',(2.47268412942989,3.30884899845917,3.6322)); -#11696=CARTESIAN_POINT('',(2.47268412942989,3.30884899845917,3.6322)); -#11697=CARTESIAN_POINT('',(2.47268412942989,3.30884899845917,3.6322)); -#11698=CARTESIAN_POINT('',(2.47268412942989,3.30884899845917,3.556)); -#11699=CARTESIAN_POINT('',(2.61827426810478,3.30884899845917,3.556)); -#11700=CARTESIAN_POINT('',(2.61827426810478,3.30884899845917,3.556)); -#11701=CARTESIAN_POINT('',(2.47268412942989,3.30884899845917,3.6322)); -#11702=CARTESIAN_POINT('',(2.61827426810478,3.30884899845917,3.6322)); -#11703=CARTESIAN_POINT('',(2.61827426810478,3.30884899845917,3.6322)); -#11704=CARTESIAN_POINT('',(2.61827426810478,3.30884899845917,3.6322)); -#11705=CARTESIAN_POINT('',(2.61827426810478,3.30884899845917,3.6322)); -#11706=CARTESIAN_POINT('',(2.61827426810478,3.30884899845917,3.556)); -#11707=CARTESIAN_POINT('',(2.61827426810478,4.94634668721109,3.556)); -#11708=CARTESIAN_POINT('',(2.61827426810478,4.94634668721109,3.556)); -#11709=CARTESIAN_POINT('',(2.61827426810478,3.30884899845917,3.6322)); -#11710=CARTESIAN_POINT('',(2.61827426810478,4.94634668721109,3.6322)); -#11711=CARTESIAN_POINT('',(2.61827426810478,4.94634668721109,3.6322)); -#11712=CARTESIAN_POINT('',(2.61827426810478,4.94634668721109,3.6322)); -#11713=CARTESIAN_POINT('',(2.61827426810478,4.94634668721109,3.6322)); -#11714=CARTESIAN_POINT('',(2.61827426810478,4.94634668721109,3.556)); -#11715=CARTESIAN_POINT('',(3.05504468412943,4.07280585516179,3.556)); -#11716=CARTESIAN_POINT('',(3.05504468412943,4.07280585516179,3.556)); -#11717=CARTESIAN_POINT('',(2.61827426810478,4.94634668721109,3.6322)); -#11718=CARTESIAN_POINT('',(3.05504468412943,4.07280585516179,3.6322)); -#11719=CARTESIAN_POINT('',(3.05504468412943,4.07280585516179,3.6322)); -#11720=CARTESIAN_POINT('',(3.05504468412943,4.07280585516179,3.6322)); -#11721=CARTESIAN_POINT('',(3.05504468412943,4.07280585516179,3.6322)); -#11722=CARTESIAN_POINT('',(3.05504468412943,4.07280585516179,3.556)); -#11723=CARTESIAN_POINT('',(3.15367026194145,4.07280585516179,3.556)); -#11724=CARTESIAN_POINT('',(3.15367026194145,4.07280585516179,3.556)); -#11725=CARTESIAN_POINT('',(3.05504468412943,4.07280585516179,3.6322)); -#11726=CARTESIAN_POINT('',(3.15367026194145,4.07280585516179,3.6322)); -#11727=CARTESIAN_POINT('',(3.15367026194145,4.07280585516179,3.6322)); -#11728=CARTESIAN_POINT('',(3.15367026194145,4.07280585516179,3.6322)); -#11729=CARTESIAN_POINT('',(3.15367026194145,4.07280585516179,3.6322)); -#11730=CARTESIAN_POINT('',(3.15367026194145,4.07280585516179,3.556)); -#11731=CARTESIAN_POINT('',(3.58887519260401,4.944781201849,3.556)); -#11732=CARTESIAN_POINT('',(3.58887519260401,4.944781201849,3.556)); -#11733=CARTESIAN_POINT('',(3.15367026194145,4.07280585516179,3.6322)); -#11734=CARTESIAN_POINT('',(3.58887519260401,4.944781201849,3.6322)); -#11735=CARTESIAN_POINT('',(3.58887519260401,4.944781201849,3.6322)); -#11736=CARTESIAN_POINT('',(3.58887519260401,4.944781201849,3.6322)); -#11737=CARTESIAN_POINT('',(3.58887519260401,4.944781201849,3.6322)); -#11738=CARTESIAN_POINT('',(3.58887519260401,4.944781201849,3.556)); -#11739=CARTESIAN_POINT('',(3.58887519260401,3.30884899845917,3.556)); -#11740=CARTESIAN_POINT('',(3.58887519260401,3.30884899845917,3.556)); -#11741=CARTESIAN_POINT('',(3.58887519260401,4.944781201849,3.6322)); -#11742=CARTESIAN_POINT('',(3.58887519260401,3.30884899845917,3.6322)); -#11743=CARTESIAN_POINT('',(3.58887519260401,3.30884899845917,3.6322)); -#11744=CARTESIAN_POINT('',(3.58887519260401,3.30884899845917,3.6322)); -#11745=CARTESIAN_POINT('',(3.58887519260401,3.30884899845917,3.6322)); -#11746=CARTESIAN_POINT('',(3.58887519260401,3.30884899845917,3.556)); -#11747=CARTESIAN_POINT('',(3.73603081664099,3.30884899845917,3.556)); -#11748=CARTESIAN_POINT('',(3.73603081664099,3.30884899845917,3.556)); -#11749=CARTESIAN_POINT('',(3.58887519260401,3.30884899845917,3.6322)); -#11750=CARTESIAN_POINT('',(3.73603081664099,3.30884899845917,3.6322)); -#11751=CARTESIAN_POINT('',(3.73603081664099,3.30884899845917,3.6322)); -#11752=CARTESIAN_POINT('',(3.73603081664099,3.30884899845917,3.6322)); -#11753=CARTESIAN_POINT('',(3.73603081664099,3.30884899845917,3.6322)); -#11754=CARTESIAN_POINT('',(3.73603081664099,3.30884899845917,3.556)); -#11755=CARTESIAN_POINT('',(3.73603081664099,5.33928351309707,3.556)); -#11756=CARTESIAN_POINT('',(3.73603081664099,5.33928351309707,3.556)); -#11757=CARTESIAN_POINT('',(3.73603081664099,3.30884899845917,3.6322)); -#11758=CARTESIAN_POINT('',(3.73603081664099,5.33928351309707,3.6322)); -#11759=CARTESIAN_POINT('',(3.73603081664099,5.33928351309707,3.6322)); -#11760=CARTESIAN_POINT('',(3.73603081664099,5.33928351309707,3.6322)); -#11761=CARTESIAN_POINT('',(3.73603081664099,5.33928351309707,3.6322)); -#11762=CARTESIAN_POINT('',(3.73603081664099,5.33928351309707,3.556)); -#11763=CARTESIAN_POINT('',(3.60453004622496,5.33615254237288,3.556)); -#11764=CARTESIAN_POINT('',(3.60453004622496,5.33615254237288,3.556)); -#11765=CARTESIAN_POINT('',(3.73603081664099,5.33928351309707,3.6322)); -#11766=CARTESIAN_POINT('',(3.60453004622496,5.33615254237288,3.6322)); -#11767=CARTESIAN_POINT('',(3.60453004622496,5.33615254237288,3.6322)); -#11768=CARTESIAN_POINT('',(3.60453004622496,5.33615254237288,3.6322)); -#11769=CARTESIAN_POINT('',(3.60453004622496,5.33615254237288,3.6322)); -#11770=CARTESIAN_POINT('',(3.60453004622496,5.33615254237288,3.556)); -#11771=CARTESIAN_POINT('',(3.1020092449923,4.30762865947612,3.556)); -#11772=CARTESIAN_POINT('',(3.1020092449923,4.30762865947612,3.556)); -#11773=CARTESIAN_POINT('',(3.60453004622496,5.33615254237288,3.6322)); -#11774=CARTESIAN_POINT('',(3.1020092449923,4.30762865947612,3.6322)); -#11775=CARTESIAN_POINT('',(3.1020092449923,4.30762865947612,3.6322)); -#11776=CARTESIAN_POINT('',(3.1020092449923,4.30762865947612,3.6322)); -#11777=CARTESIAN_POINT('',(3.1020092449923,4.30762865947612,3.6322)); -#11778=CARTESIAN_POINT('',(3.1020092449923,4.30762865947612,3.556)); -#11779=CARTESIAN_POINT('',(2.6088813559322,5.33615254237288,3.556)); -#11780=CARTESIAN_POINT('',(2.6088813559322,5.33615254237288,3.556)); -#11781=CARTESIAN_POINT('',(3.1020092449923,4.30762865947612,3.6322)); -#11782=CARTESIAN_POINT('',(2.6088813559322,5.33615254237288,3.6322)); -#11783=CARTESIAN_POINT('',(2.6088813559322,5.33615254237288,3.6322)); -#11784=CARTESIAN_POINT('',(2.6088813559322,5.33615254237288,3.6322)); -#11785=CARTESIAN_POINT('',(2.6088813559322,5.33615254237288,3.6322)); -#11786=CARTESIAN_POINT('',(2.6088813559322,5.33615254237288,3.556)); -#11787=CARTESIAN_POINT('',(2.47268412942989,5.33928351309707,3.556)); -#11788=CARTESIAN_POINT('',(2.6088813559322,5.33615254237288,3.6322)); -#11789=CARTESIAN_POINT('',(2.47268412942989,5.33928351309707,3.6322)); -#11790=CARTESIAN_POINT('',(2.47268412942989,4.32406625577812,3.6322)); -#11791=CARTESIAN_POINT('',(2.47268412942989,4.32406625577812,3.556)); -#11792=CARTESIAN_POINT('',(0.839882896764253,3.46070107858243,3.6322)); -#11793=CARTESIAN_POINT('',(0.839882896764253,3.46070107858243,3.556)); -#11794=CARTESIAN_POINT('',(0.839882896764253,3.41164920390344,3.556)); -#11795=CARTESIAN_POINT('',(0.839882896764253,3.36259732922445,3.556)); -#11796=CARTESIAN_POINT('',(0.839882896764253,3.31354545454545,3.556)); -#11797=CARTESIAN_POINT('',(0.839882896764253,3.46070107858243,3.556)); -#11798=CARTESIAN_POINT('',(0.839882896764253,3.31354545454545,3.556)); -#11799=CARTESIAN_POINT('',(0.839882896764253,3.46070107858243,3.6322)); -#11800=CARTESIAN_POINT('',(0.839882896764253,3.46070107858243,3.6322)); -#11801=CARTESIAN_POINT('',(0.839882896764253,3.46070107858243,3.6322)); -#11802=CARTESIAN_POINT('',(0.839882896764253,3.41164920390344,3.6322)); -#11803=CARTESIAN_POINT('',(0.839882896764253,3.36259732922445,3.6322)); -#11804=CARTESIAN_POINT('',(0.839882896764253,3.31354545454545,3.6322)); -#11805=CARTESIAN_POINT('',(0.839882896764253,3.31354545454545,3.6322)); -#11806=CARTESIAN_POINT('',(0.839882896764253,3.31354545454545,3.6322)); -#11807=CARTESIAN_POINT('',(0.839882896764253,3.31354545454545,3.6322)); -#11808=CARTESIAN_POINT('',(0.839882896764253,3.31354545454545,3.556)); -#11809=CARTESIAN_POINT('',(0.916774453897747,3.31354545454545,3.6322)); -#11810=CARTESIAN_POINT('',(0.916774453897747,3.31354545454545,3.556)); -#11811=CARTESIAN_POINT('',(1.07152660539811,3.31657274143446,3.6322)); -#11812=CARTESIAN_POINT('',(1.07152660539811,3.31657274143446,3.556)); -#11813=CARTESIAN_POINT('',(1.29784060924839,3.30294995043394,3.6322)); -#11814=CARTESIAN_POINT('',(1.29784060924839,3.30294995043394,3.556)); -#11815=CARTESIAN_POINT('',(1.54450439499962,3.35290018410252,3.6322)); -#11816=CARTESIAN_POINT('',(1.54450439499962,3.35290018410252,3.556)); -#11817=CARTESIAN_POINT('',(1.589951958707,3.60535023215816,3.6322)); -#11818=CARTESIAN_POINT('',(1.589951958707,3.60535023215816,3.556)); -#11819=CARTESIAN_POINT('',(1.57783260838503,3.83028242933613,3.6322)); -#11820=CARTESIAN_POINT('',(1.57783260838503,3.83028242933613,3.556)); -#11821=CARTESIAN_POINT('',(1.58187239182568,4.13984741184628,3.6322)); -#11822=CARTESIAN_POINT('',(1.58187239182568,4.13984741184628,3.556)); -#11823=CARTESIAN_POINT('',(1.58035747303544,4.36984868681751,3.6322)); -#11824=CARTESIAN_POINT('',(1.58035747303544,4.36984868681751,3.556)); -#11825=CARTESIAN_POINT('',(1.58035747303544,4.5236656394453,3.6322)); -#11826=CARTESIAN_POINT('',(1.58035747303544,4.5236656394453,3.556)); -#11827=CARTESIAN_POINT('',(0.839882896764253,3.31354545454545,3.556)); -#11828=CARTESIAN_POINT('',(1.00582434514638,3.31354545454545,3.556)); -#11829=CARTESIAN_POINT('',(1.17176579352851,3.31354545454545,3.556)); -#11830=CARTESIAN_POINT('',(1.33770724191063,3.31354545454545,3.556)); -#11831=CARTESIAN_POINT('',(1.41441602465331,3.31354545454545,3.556)); -#11832=CARTESIAN_POINT('',(1.47546995377504,3.34172419106317,3.556)); -#11833=CARTESIAN_POINT('',(1.51930354391371,3.39651617873652,3.556)); -#11834=CARTESIAN_POINT('',(1.55886068623398,3.44596260663685,3.556)); -#11835=CARTESIAN_POINT('',(1.58035747303544,3.51079661016949,3.556)); -#11836=CARTESIAN_POINT('',(1.58035747303544,3.58907087827427,3.556)); -#11837=CARTESIAN_POINT('',(1.58035747303544,3.90060246533128,3.556)); -#11838=CARTESIAN_POINT('',(1.58035747303544,4.21213405238829,3.556)); -#11839=CARTESIAN_POINT('',(1.58035747303544,4.5236656394453,3.556)); -#11840=CARTESIAN_POINT('',(1.58035747303544,4.5236656394453,3.556)); -#11841=CARTESIAN_POINT('',(0.839882896764253,3.31354545454545,3.6322)); -#11842=CARTESIAN_POINT('',(1.00582434514638,3.31354545454545,3.6322)); -#11843=CARTESIAN_POINT('',(1.17176579352851,3.31354545454545,3.6322)); -#11844=CARTESIAN_POINT('',(1.33770724191063,3.31354545454545,3.6322)); -#11845=CARTESIAN_POINT('',(1.41441602465331,3.31354545454545,3.6322)); -#11846=CARTESIAN_POINT('',(1.47546995377504,3.34172419106317,3.6322)); -#11847=CARTESIAN_POINT('',(1.51930354391371,3.39651617873652,3.6322)); -#11848=CARTESIAN_POINT('',(1.55886068623398,3.44596260663685,3.6322)); -#11849=CARTESIAN_POINT('',(1.58035747303544,3.51079661016949,3.6322)); -#11850=CARTESIAN_POINT('',(1.58035747303544,3.58907087827427,3.6322)); -#11851=CARTESIAN_POINT('',(1.58035747303544,3.90060246533128,3.6322)); -#11852=CARTESIAN_POINT('',(1.58035747303544,4.21213405238829,3.6322)); -#11853=CARTESIAN_POINT('',(1.58035747303544,4.5236656394453,3.6322)); -#11854=CARTESIAN_POINT('',(1.58035747303544,4.5236656394453,3.6322)); -#11855=CARTESIAN_POINT('',(1.58035747303544,4.5236656394453,3.6322)); -#11856=CARTESIAN_POINT('',(1.58035747303544,4.5236656394453,3.6322)); -#11857=CARTESIAN_POINT('',(1.58035747303544,4.5236656394453,3.556)); -#11858=CARTESIAN_POINT('',(1.56951733242104,4.59799803222973,3.6322)); -#11859=CARTESIAN_POINT('',(1.56951733242104,4.59799803222973,3.556)); -#11860=CARTESIAN_POINT('',(1.55518356434977,4.71333644079943,3.6322)); -#11861=CARTESIAN_POINT('',(1.55518356434977,4.71333644079943,3.556)); -#11862=CARTESIAN_POINT('',(1.39983860169473,4.78706012668382,3.6322)); -#11863=CARTESIAN_POINT('',(1.39983860169473,4.78706012668382,3.556)); -#11864=CARTESIAN_POINT('',(1.26469454881582,4.79753946812161,3.6322)); -#11865=CARTESIAN_POINT('',(1.26469454881582,4.79753946812161,3.556)); -#11866=CARTESIAN_POINT('',(1.06095189245761,4.79338738489373,3.6322)); -#11867=CARTESIAN_POINT('',(1.06095189245761,4.79338738489373,3.556)); -#11868=CARTESIAN_POINT('',(0.914992088779402,4.79449460708783,3.6322)); -#11869=CARTESIAN_POINT('',(0.914992088779402,4.79449460708783,3.556)); -#11870=CARTESIAN_POINT('',(0.839882896764253,4.79449460708783,3.6322)); -#11871=CARTESIAN_POINT('',(0.839882896764253,4.79449460708783,3.556)); -#11872=CARTESIAN_POINT('',(1.58035747303544,4.5236656394453,3.556)); -#11873=CARTESIAN_POINT('',(1.57670467385722,4.54871340523883,3.556)); -#11874=CARTESIAN_POINT('',(1.57305187467899,4.57376117103236,3.556)); -#11875=CARTESIAN_POINT('',(1.56939907550077,4.59880893682589,3.556)); -#11876=CARTESIAN_POINT('',(1.55614001992015,4.689728175093,3.556)); -#11877=CARTESIAN_POINT('',(1.50051771956857,4.75066101694915,3.556)); -#11878=CARTESIAN_POINT('',(1.40345762711864,4.77883975346687,3.556)); -#11879=CARTESIAN_POINT('',(1.36773541459029,4.78921071839446,3.556)); -#11880=CARTESIAN_POINT('',(1.31892141756549,4.79449460708783,3.556)); -#11881=CARTESIAN_POINT('',(1.25943297380585,4.79449460708783,3.556)); -#11882=CARTESIAN_POINT('',(1.11958294812532,4.79449460708783,3.556)); -#11883=CARTESIAN_POINT('',(0.979732922444787,4.79449460708783,3.556)); -#11884=CARTESIAN_POINT('',(0.839882896764253,4.79449460708783,3.556)); -#11885=CARTESIAN_POINT('',(0.839882896764253,4.79449460708783,3.556)); -#11886=CARTESIAN_POINT('',(1.58035747303544,4.5236656394453,3.6322)); -#11887=CARTESIAN_POINT('',(1.57670467385722,4.54871340523883,3.6322)); -#11888=CARTESIAN_POINT('',(1.57305187467899,4.57376117103236,3.6322)); -#11889=CARTESIAN_POINT('',(1.56939907550077,4.59880893682589,3.6322)); -#11890=CARTESIAN_POINT('',(1.55614001992015,4.689728175093,3.6322)); -#11891=CARTESIAN_POINT('',(1.50051771956857,4.75066101694915,3.6322)); -#11892=CARTESIAN_POINT('',(1.40345762711864,4.77883975346687,3.6322)); -#11893=CARTESIAN_POINT('',(1.36773541459029,4.78921071839446,3.6322)); -#11894=CARTESIAN_POINT('',(1.31892141756549,4.79449460708783,3.6322)); -#11895=CARTESIAN_POINT('',(1.25943297380585,4.79449460708783,3.6322)); -#11896=CARTESIAN_POINT('',(1.11958294812532,4.79449460708783,3.6322)); -#11897=CARTESIAN_POINT('',(0.979732922444787,4.79449460708783,3.6322)); -#11898=CARTESIAN_POINT('',(0.839882896764253,4.79449460708783,3.6322)); -#11899=CARTESIAN_POINT('',(0.839882896764253,4.79449460708783,3.6322)); -#11900=CARTESIAN_POINT('',(0.839882896764253,4.79449460708783,3.6322)); -#11901=CARTESIAN_POINT('',(0.839882896764253,4.79449460708783,3.6322)); -#11902=CARTESIAN_POINT('',(0.839882896764253,4.79449460708783,3.556)); -#11903=CARTESIAN_POINT('',(0.839882896764253,4.74909553158706,3.556)); -#11904=CARTESIAN_POINT('',(0.839882896764253,4.70369645608629,3.556)); -#11905=CARTESIAN_POINT('',(0.839882896764253,4.65829738058552,3.556)); -#11906=CARTESIAN_POINT('',(0.839882896764253,4.65829738058552,3.556)); -#11907=CARTESIAN_POINT('',(0.839882896764253,4.79449460708783,3.6322)); -#11908=CARTESIAN_POINT('',(0.839882896764253,4.74909553158706,3.6322)); -#11909=CARTESIAN_POINT('',(0.839882896764253,4.70369645608629,3.6322)); -#11910=CARTESIAN_POINT('',(0.839882896764253,4.65829738058552,3.6322)); -#11911=CARTESIAN_POINT('',(0.839882896764253,4.65829738058552,3.6322)); -#11912=CARTESIAN_POINT('',(0.839882896764253,4.65829738058552,3.6322)); -#11913=CARTESIAN_POINT('',(0.839882896764253,4.65829738058552,3.6322)); -#11914=CARTESIAN_POINT('',(0.839882896764253,4.65829738058552,3.556)); -#11915=CARTESIAN_POINT('',(0.906804853757742,4.65829738058552,3.6322)); -#11916=CARTESIAN_POINT('',(0.906804853757742,4.65829738058552,3.556)); -#11917=CARTESIAN_POINT('',(1.04161492597495,4.65705967678815,3.6322)); -#11918=CARTESIAN_POINT('',(1.04161492597495,4.65705967678815,3.556)); -#11919=CARTESIAN_POINT('',(1.23803308491941,4.6626293438763,3.6322)); -#11920=CARTESIAN_POINT('',(1.23803308491941,4.6626293438763,3.556)); -#11921=CARTESIAN_POINT('',(1.41862265306867,4.64561091666249,3.6322)); -#11922=CARTESIAN_POINT('',(1.41862265306867,4.64561091666249,3.556)); -#11923=CARTESIAN_POINT('',(1.43589021136849,4.48525939385192,3.6322)); -#11924=CARTESIAN_POINT('',(1.43589021136849,4.48525939385192,3.556)); -#11925=CARTESIAN_POINT('',(1.43165087070806,4.35792333592342,3.6322)); -#11926=CARTESIAN_POINT('',(1.43165087070806,4.35792333592342,3.556)); -#11927=CARTESIAN_POINT('',(1.43371884176193,4.18744387950874,3.6322)); -#11928=CARTESIAN_POINT('',(1.43371884176193,4.18744387950874,3.556)); -#11929=CARTESIAN_POINT('',(1.43289165334038,3.92102587710716,3.6322)); -#11930=CARTESIAN_POINT('',(1.43289165334038,3.92102587710716,3.556)); -#11931=CARTESIAN_POINT('',(1.43320184899846,3.71978382153705,3.6322)); -#11932=CARTESIAN_POINT('',(1.43320184899846,3.71978382153705,3.556)); -#11933=CARTESIAN_POINT('',(1.43320184899846,3.58593990755008,3.6322)); -#11934=CARTESIAN_POINT('',(1.43320184899846,3.58593990755008,3.556)); -#11935=CARTESIAN_POINT('',(0.839882896764253,4.65829738058552,3.556)); -#11936=CARTESIAN_POINT('',(0.986516692347202,4.65829738058552,3.556)); -#11937=CARTESIAN_POINT('',(1.13315048793015,4.65829738058552,3.556)); -#11938=CARTESIAN_POINT('',(1.2797842835131,4.65829738058552,3.556)); -#11939=CARTESIAN_POINT('',(1.3831063174114,4.65829738058552,3.556)); -#11940=CARTESIAN_POINT('',(1.43320184899846,4.60350539291217,3.556)); -#11941=CARTESIAN_POINT('',(1.43320184899846,4.49392141756549,3.556)); -#11942=CARTESIAN_POINT('',(1.43320184899846,4.19126091422701,3.556)); -#11943=CARTESIAN_POINT('',(1.43320184899846,3.88860041088855,3.556)); -#11944=CARTESIAN_POINT('',(1.43320184899846,3.58593990755008,3.556)); -#11945=CARTESIAN_POINT('',(1.43320184899846,3.58593990755008,3.556)); -#11946=CARTESIAN_POINT('',(0.839882896764253,4.65829738058552,3.6322)); -#11947=CARTESIAN_POINT('',(0.986516692347202,4.65829738058552,3.6322)); -#11948=CARTESIAN_POINT('',(1.13315048793015,4.65829738058552,3.6322)); -#11949=CARTESIAN_POINT('',(1.2797842835131,4.65829738058552,3.6322)); -#11950=CARTESIAN_POINT('',(1.3831063174114,4.65829738058552,3.6322)); -#11951=CARTESIAN_POINT('',(1.43320184899846,4.60350539291217,3.6322)); -#11952=CARTESIAN_POINT('',(1.43320184899846,4.49392141756549,3.6322)); -#11953=CARTESIAN_POINT('',(1.43320184899846,4.19126091422701,3.6322)); -#11954=CARTESIAN_POINT('',(1.43320184899846,3.88860041088855,3.6322)); -#11955=CARTESIAN_POINT('',(1.43320184899846,3.58593990755008,3.6322)); -#11956=CARTESIAN_POINT('',(1.43320184899846,3.58593990755008,3.6322)); -#11957=CARTESIAN_POINT('',(1.43320184899846,3.58593990755008,3.6322)); -#11958=CARTESIAN_POINT('',(1.43320184899846,3.58593990755008,3.6322)); -#11959=CARTESIAN_POINT('',(1.43320184899846,3.58593990755008,3.556)); -#11960=CARTESIAN_POINT('',(1.41152329993192,3.45586861315082,3.6322)); -#11961=CARTESIAN_POINT('',(1.41152329993192,3.45586861315082,3.556)); -#11962=CARTESIAN_POINT('',(1.15997372891583,3.46551162816846,3.6322)); -#11963=CARTESIAN_POINT('',(1.15997372891583,3.46551162816846,3.556)); -#11964=CARTESIAN_POINT('',(0.9500403254816,3.46070107858243,3.6322)); -#11965=CARTESIAN_POINT('',(0.9500403254816,3.46070107858243,3.556)); -#11966=CARTESIAN_POINT('',(0.839882896764253,3.46070107858243,3.6322)); -#11967=CARTESIAN_POINT('',(0.839882896764253,3.46070107858243,3.556)); -#11968=CARTESIAN_POINT('',(1.43320184899846,3.58593990755008,3.556)); -#11969=CARTESIAN_POINT('',(1.4191124807396,3.50140369799692,3.556)); -#11970=CARTESIAN_POINT('',(1.36901694915254,3.45913559322034,3.556)); -#11971=CARTESIAN_POINT('',(1.28604622496148,3.46070107858243,3.556)); -#11972=CARTESIAN_POINT('',(1.13737804122411,3.46350613865295,3.556)); -#11973=CARTESIAN_POINT('',(0.988604006163329,3.46070107858243,3.556)); -#11974=CARTESIAN_POINT('',(0.839882896764253,3.46070107858243,3.556)); -#11975=CARTESIAN_POINT('',(1.43320184899846,3.58593990755008,3.6322)); -#11976=CARTESIAN_POINT('',(1.4191124807396,3.50140369799692,3.6322)); -#11977=CARTESIAN_POINT('',(1.36901694915254,3.45913559322034,3.6322)); -#11978=CARTESIAN_POINT('',(1.28604622496148,3.46070107858243,3.6322)); -#11979=CARTESIAN_POINT('',(1.13737804122411,3.46350613865295,3.6322)); -#11980=CARTESIAN_POINT('',(0.988604006163329,3.46070107858243,3.6322)); -#11981=CARTESIAN_POINT('',(0.839882896764253,3.46070107858243,3.6322)); -#11982=CARTESIAN_POINT('',(1.58035747303544,3.60076392367855,3.6322)); -#11983=CARTESIAN_POINT('',(1.58035747303544,3.60076392367855,3.556)); -#11984=CARTESIAN_POINT('',(0.409374422187981,4.78979815100154,3.6322)); -#11985=CARTESIAN_POINT('',(0.409374422187981,4.78979815100154,3.556)); -#11986=CARTESIAN_POINT('',(0.32579426501475,4.78979815100154,3.6322)); -#11987=CARTESIAN_POINT('',(0.32579426501475,4.78979815100154,3.556)); -#11988=CARTESIAN_POINT('',(0.171224707600499,4.7935612189515,3.6322)); -#11989=CARTESIAN_POINT('',(0.171224707600499,4.7935612189515,3.556)); -#11990=CARTESIAN_POINT('',(-0.136174170114157,4.7766274131767,3.6322)); -#11991=CARTESIAN_POINT('',(-0.136174170114157,4.7766274131767,3.556)); -#11992=CARTESIAN_POINT('',(-0.257786203192597,4.58595458936674,3.6322)); -#11993=CARTESIAN_POINT('',(-0.257786203192597,4.58595458936674,3.556)); -#11994=CARTESIAN_POINT('',(-0.235123209424057,4.22209694400622,3.6322)); -#11995=CARTESIAN_POINT('',(-0.235123209424057,4.22209694400622,3.556)); -#11996=CARTESIAN_POINT('',(-0.246454706308327,3.81896466647386,3.6322)); -#11997=CARTESIAN_POINT('',(-0.246454706308327,3.81896466647386,3.556)); -#11998=CARTESIAN_POINT('',(-0.243432973805855,3.48070576889192,3.6322)); -#11999=CARTESIAN_POINT('',(-0.243432973805855,3.48070576889192,3.556)); -#12000=CARTESIAN_POINT('',(-0.243432973805855,3.31354545454545,3.6322)); -#12001=CARTESIAN_POINT('',(-0.243432973805855,3.31354545454545,3.556)); -#12002=CARTESIAN_POINT('',(0.409374422187981,4.78979815100154,3.556)); -#12003=CARTESIAN_POINT('',(0.307617873651772,4.78979815100154,3.556)); -#12004=CARTESIAN_POINT('',(0.205861325115563,4.78979815100154,3.556)); -#12005=CARTESIAN_POINT('',(0.104104776579353,4.78979815100154,3.556)); -#12006=CARTESIAN_POINT('',(-0.127587057010786,4.78979815100154,3.556)); -#12007=CARTESIAN_POINT('',(-0.243432973805855,4.68647611710324,3.556)); -#12008=CARTESIAN_POINT('',(-0.243432973805855,4.48296302003082,3.556)); -#12009=CARTESIAN_POINT('',(-0.243432973805855,4.09315716486903,3.556)); -#12010=CARTESIAN_POINT('',(-0.243432973805855,3.70335130970724,3.556)); -#12011=CARTESIAN_POINT('',(-0.243432973805855,3.31354545454545,3.556)); -#12012=CARTESIAN_POINT('',(0.409374422187981,4.78979815100154,3.556)); -#12013=CARTESIAN_POINT('',(-0.243432973805855,3.31354545454545,3.556)); -#12014=CARTESIAN_POINT('',(0.409374422187981,4.78979815100154,3.6322)); -#12015=CARTESIAN_POINT('',(0.409374422187981,4.78979815100154,3.6322)); -#12016=CARTESIAN_POINT('',(0.409374422187981,4.78979815100154,3.6322)); -#12017=CARTESIAN_POINT('',(0.307617873651772,4.78979815100154,3.6322)); -#12018=CARTESIAN_POINT('',(0.205861325115563,4.78979815100154,3.6322)); -#12019=CARTESIAN_POINT('',(0.104104776579353,4.78979815100154,3.6322)); -#12020=CARTESIAN_POINT('',(-0.127587057010786,4.78979815100154,3.6322)); -#12021=CARTESIAN_POINT('',(-0.243432973805855,4.68647611710324,3.6322)); -#12022=CARTESIAN_POINT('',(-0.243432973805855,4.48296302003082,3.6322)); -#12023=CARTESIAN_POINT('',(-0.243432973805855,4.09315716486903,3.6322)); -#12024=CARTESIAN_POINT('',(-0.243432973805855,3.70335130970724,3.6322)); -#12025=CARTESIAN_POINT('',(-0.243432973805855,3.31354545454545,3.6322)); -#12026=CARTESIAN_POINT('',(-0.243432973805855,3.31354545454545,3.6322)); -#12027=CARTESIAN_POINT('',(-0.243432973805855,3.31354545454545,3.6322)); -#12028=CARTESIAN_POINT('',(-0.243432973805855,3.31354545454545,3.6322)); -#12029=CARTESIAN_POINT('',(-0.243432973805855,3.31354545454545,3.556)); -#12030=CARTESIAN_POINT('',(-0.194902927580893,3.31354545454545,3.556)); -#12031=CARTESIAN_POINT('',(-0.146372881355932,3.31354545454545,3.556)); -#12032=CARTESIAN_POINT('',(-0.0978428351309698,3.31354545454545,3.556)); -#12033=CARTESIAN_POINT('',(-0.0978428351309698,3.31354545454545,3.556)); -#12034=CARTESIAN_POINT('',(-0.243432973805855,3.31354545454545,3.6322)); -#12035=CARTESIAN_POINT('',(-0.194902927580893,3.31354545454545,3.6322)); -#12036=CARTESIAN_POINT('',(-0.146372881355932,3.31354545454545,3.6322)); -#12037=CARTESIAN_POINT('',(-0.0978428351309698,3.31354545454545,3.6322)); -#12038=CARTESIAN_POINT('',(-0.0978428351309698,3.31354545454545,3.6322)); -#12039=CARTESIAN_POINT('',(-0.0978428351309698,3.31354545454545,3.6322)); -#12040=CARTESIAN_POINT('',(-0.0978428351309698,3.31354545454545,3.6322)); -#12041=CARTESIAN_POINT('',(-0.0978428351309698,3.31354545454545,3.556)); -#12042=CARTESIAN_POINT('',(-0.0978428351309698,3.46008173923422,3.6322)); -#12043=CARTESIAN_POINT('',(-0.0978428351309698,3.46008173923422,3.556)); -#12044=CARTESIAN_POINT('',(-0.0999308493587649,3.75252085149331,3.6322)); -#12045=CARTESIAN_POINT('',(-0.0999308493587649,3.75252085149331,3.556)); -#12046=CARTESIAN_POINT('',(-0.0921007960045333,4.12123702740941,3.6322)); -#12047=CARTESIAN_POINT('',(-0.0921007960045333,4.12123702740941,3.556)); -#12048=CARTESIAN_POINT('',(-0.107760902712996,4.40955866839858,3.6322)); -#12049=CARTESIAN_POINT('',(-0.107760902712996,4.40955866839858,3.556)); -#12050=CARTESIAN_POINT('',(-0.0599975772521841,4.64385342701627,3.6322)); -#12051=CARTESIAN_POINT('',(-0.0599975772521841,4.64385342701627,3.556)); -#12052=CARTESIAN_POINT('',(0.198073750975932,4.65638592378008,3.6322)); -#12053=CARTESIAN_POINT('',(0.198073750975932,4.65638592378008,3.556)); -#12054=CARTESIAN_POINT('',(0.336106279843597,4.65360092449923,3.6322)); -#12055=CARTESIAN_POINT('',(0.336106279843597,4.65360092449923,3.556)); -#12056=CARTESIAN_POINT('',(0.409374422187981,4.65360092449923,3.6322)); -#12057=CARTESIAN_POINT('',(0.409374422187981,4.65360092449923,3.556)); -#12058=CARTESIAN_POINT('',(-0.0978428351309698,3.31354545454545,3.556)); -#12059=CARTESIAN_POINT('',(-0.0978428351309698,3.683,3.556)); -#12060=CARTESIAN_POINT('',(-0.0978428351309698,4.05245454545454,3.556)); -#12061=CARTESIAN_POINT('',(-0.0978428351309698,4.42190909090909,3.556)); -#12062=CARTESIAN_POINT('',(-0.0978428351309698,4.57532665639445,3.556)); -#12063=CARTESIAN_POINT('',(-0.0180030816640981,4.65360092449923,3.556)); -#12064=CARTESIAN_POINT('',(0.14011093990755,4.65360092449923,3.556)); -#12065=CARTESIAN_POINT('',(0.229865434001027,4.65360092449923,3.556)); -#12066=CARTESIAN_POINT('',(0.319619928094504,4.65360092449923,3.556)); -#12067=CARTESIAN_POINT('',(0.409374422187981,4.65360092449923,3.556)); -#12068=CARTESIAN_POINT('',(0.409374422187981,4.65360092449923,3.556)); -#12069=CARTESIAN_POINT('',(-0.0978428351309698,3.31354545454545,3.6322)); -#12070=CARTESIAN_POINT('',(-0.0978428351309698,3.683,3.6322)); -#12071=CARTESIAN_POINT('',(-0.0978428351309698,4.05245454545454,3.6322)); -#12072=CARTESIAN_POINT('',(-0.0978428351309698,4.42190909090909,3.6322)); -#12073=CARTESIAN_POINT('',(-0.0978428351309698,4.57532665639445,3.6322)); -#12074=CARTESIAN_POINT('',(-0.0180030816640981,4.65360092449923,3.6322)); -#12075=CARTESIAN_POINT('',(0.14011093990755,4.65360092449923,3.6322)); -#12076=CARTESIAN_POINT('',(0.229865434001027,4.65360092449923,3.6322)); -#12077=CARTESIAN_POINT('',(0.319619928094504,4.65360092449923,3.6322)); -#12078=CARTESIAN_POINT('',(0.409374422187981,4.65360092449923,3.6322)); -#12079=CARTESIAN_POINT('',(0.409374422187981,4.65360092449923,3.6322)); -#12080=CARTESIAN_POINT('',(0.409374422187981,4.65360092449923,3.6322)); -#12081=CARTESIAN_POINT('',(0.409374422187981,4.65360092449923,3.6322)); -#12082=CARTESIAN_POINT('',(0.409374422187981,4.65360092449923,3.556)); -#12083=CARTESIAN_POINT('',(0.409374422187981,4.20691576784797,3.556)); -#12084=CARTESIAN_POINT('',(0.409374422187982,3.76023061119671,3.556)); -#12085=CARTESIAN_POINT('',(0.409374422187981,3.31354545454545,3.556)); -#12086=CARTESIAN_POINT('',(0.409374422187981,3.31354545454545,3.556)); -#12087=CARTESIAN_POINT('',(0.409374422187981,4.65360092449923,3.6322)); -#12088=CARTESIAN_POINT('',(0.409374422187981,4.20691576784797,3.6322)); -#12089=CARTESIAN_POINT('',(0.409374422187982,3.76023061119671,3.6322)); -#12090=CARTESIAN_POINT('',(0.409374422187981,3.31354545454545,3.6322)); -#12091=CARTESIAN_POINT('',(0.409374422187981,3.31354545454545,3.6322)); -#12092=CARTESIAN_POINT('',(0.409374422187981,3.31354545454545,3.6322)); -#12093=CARTESIAN_POINT('',(0.409374422187981,3.31354545454545,3.6322)); -#12094=CARTESIAN_POINT('',(0.409374422187981,3.31354545454545,3.556)); -#12095=CARTESIAN_POINT('',(0.458426296866974,3.31354545454545,3.556)); -#12096=CARTESIAN_POINT('',(0.507478171545968,3.31354545454545,3.556)); -#12097=CARTESIAN_POINT('',(0.556530046224961,3.31354545454545,3.556)); -#12098=CARTESIAN_POINT('',(0.556530046224961,3.31354545454545,3.556)); -#12099=CARTESIAN_POINT('',(0.409374422187981,3.31354545454545,3.6322)); -#12100=CARTESIAN_POINT('',(0.458426296866974,3.31354545454545,3.6322)); -#12101=CARTESIAN_POINT('',(0.507478171545968,3.31354545454545,3.6322)); -#12102=CARTESIAN_POINT('',(0.556530046224961,3.31354545454545,3.6322)); -#12103=CARTESIAN_POINT('',(0.556530046224961,3.31354545454545,3.6322)); -#12104=CARTESIAN_POINT('',(0.556530046224961,3.31354545454545,3.6322)); -#12105=CARTESIAN_POINT('',(0.556530046224961,3.31354545454545,3.6322)); -#12106=CARTESIAN_POINT('',(0.556530046224961,3.31354545454545,3.556)); -#12107=CARTESIAN_POINT('',(0.556530046224961,3.98826964560863,3.556)); -#12108=CARTESIAN_POINT('',(0.556530046224961,4.6629938366718,3.556)); -#12109=CARTESIAN_POINT('',(0.556530046224961,5.33771802773498,3.556)); -#12110=CARTESIAN_POINT('',(0.556530046224961,5.33771802773498,3.556)); -#12111=CARTESIAN_POINT('',(0.556530046224961,3.31354545454545,3.6322)); -#12112=CARTESIAN_POINT('',(0.556530046224961,3.98826964560863,3.6322)); -#12113=CARTESIAN_POINT('',(0.556530046224961,4.6629938366718,3.6322)); -#12114=CARTESIAN_POINT('',(0.556530046224961,5.33771802773498,3.6322)); -#12115=CARTESIAN_POINT('',(0.556530046224961,5.33771802773498,3.6322)); -#12116=CARTESIAN_POINT('',(0.556530046224961,5.33771802773498,3.6322)); -#12117=CARTESIAN_POINT('',(0.556530046224961,5.33771802773498,3.6322)); -#12118=CARTESIAN_POINT('',(0.556530046224961,5.33771802773498,3.556)); -#12119=CARTESIAN_POINT('',(0.507478171545968,5.33823985618901,3.556)); -#12120=CARTESIAN_POINT('',(0.458426296866974,5.33876168464304,3.556)); -#12121=CARTESIAN_POINT('',(0.409374422187981,5.33928351309707,3.556)); -#12122=CARTESIAN_POINT('',(0.409374422187981,5.33928351309707,3.556)); -#12123=CARTESIAN_POINT('',(0.556530046224961,5.33771802773498,3.6322)); -#12124=CARTESIAN_POINT('',(0.507478171545968,5.33823985618901,3.6322)); -#12125=CARTESIAN_POINT('',(0.458426296866974,5.33876168464304,3.6322)); -#12126=CARTESIAN_POINT('',(0.409374422187981,5.33928351309707,3.6322)); -#12127=CARTESIAN_POINT('',(0.409374422187981,5.33928351309707,3.6322)); -#12128=CARTESIAN_POINT('',(0.409374422187981,5.33928351309707,3.6322)); -#12129=CARTESIAN_POINT('',(0.409374422187981,5.33928351309707,3.6322)); -#12130=CARTESIAN_POINT('',(0.409374422187981,5.33928351309707,3.556)); -#12131=CARTESIAN_POINT('',(0.409374422187981,5.1561217257319,3.556)); -#12132=CARTESIAN_POINT('',(0.409374422187981,4.97295993836672,3.556)); -#12133=CARTESIAN_POINT('',(0.409374422187981,4.78979815100154,3.556)); -#12134=CARTESIAN_POINT('',(0.409374422187981,5.33928351309707,3.6322)); -#12135=CARTESIAN_POINT('',(0.409374422187981,5.1561217257319,3.6322)); -#12136=CARTESIAN_POINT('',(0.409374422187981,4.97295993836672,3.6322)); -#12137=CARTESIAN_POINT('',(0.409374422187981,4.78979815100154,3.6322)); -#12138=CARTESIAN_POINT('',(-0.243432973805855,4.31650734062424,3.6322)); -#12139=CARTESIAN_POINT('',(-0.243432973805855,4.31650734062424,3.556)); -#12140=CARTESIAN_POINT('',(-3.09105084745763,5.33928351309707,3.6322)); -#12141=CARTESIAN_POINT('',(-3.09105084745763,5.33928351309707,3.556)); -#12142=CARTESIAN_POINT('',(-3.09105084745763,5.16182169949905,3.6322)); -#12143=CARTESIAN_POINT('',(-3.09105084745763,5.16182169949905,3.556)); -#12144=CARTESIAN_POINT('',(-3.09087032393319,4.80673683446854,3.6322)); -#12145=CARTESIAN_POINT('',(-3.09087032393319,4.80673683446854,3.556)); -#12146=CARTESIAN_POINT('',(-3.09154728714982,4.3636869423527,3.6322)); -#12147=CARTESIAN_POINT('',(-3.09154728714982,4.3636869423527,3.556)); -#12148=CARTESIAN_POINT('',(-3.09019336071657,4.00755403139819,3.6322)); -#12149=CARTESIAN_POINT('',(-3.09019336071657,4.00755403139819,3.556)); -#12150=CARTESIAN_POINT('',(-3.09363459040107,3.78880036062008,3.6322)); -#12151=CARTESIAN_POINT('',(-3.09363459040107,3.78880036062008,3.556)); -#12152=CARTESIAN_POINT('',(-3.08657160750764,3.60503011674876,3.6322)); -#12153=CARTESIAN_POINT('',(-3.08657160750764,3.60503011674876,3.556)); -#12154=CARTESIAN_POINT('',(-3.10455344294919,3.51017728316704,3.6322)); -#12155=CARTESIAN_POINT('',(-3.10455344294919,3.51017728316704,3.556)); -#12156=CARTESIAN_POINT('',(-3.22154414912241,3.46833297282753,3.6322)); -#12157=CARTESIAN_POINT('',(-3.22154414912241,3.46833297282753,3.556)); -#12158=CARTESIAN_POINT('',(-3.25882485657564,3.46565071879431,3.6322)); -#12159=CARTESIAN_POINT('',(-3.25882485657564,3.46565071879431,3.556)); -#12160=CARTESIAN_POINT('',(-3.3446561148586,3.45965736832106,3.6322)); -#12161=CARTESIAN_POINT('',(-3.3446561148586,3.45965736832106,3.556)); -#12162=CARTESIAN_POINT('',(-3.41040986132512,3.46070107858243,3.6322)); -#12163=CARTESIAN_POINT('',(-3.41040986132512,3.46070107858243,3.556)); -#12164=CARTESIAN_POINT('',(-3.09105084745763,5.33928351309707,3.556)); -#12165=CARTESIAN_POINT('',(-3.09105084745763,4.75953210066769,3.556)); -#12166=CARTESIAN_POINT('',(-3.09105084745763,4.17978068823831,3.556)); -#12167=CARTESIAN_POINT('',(-3.09105084745763,3.60002927580894,3.556)); -#12168=CARTESIAN_POINT('',(-3.09105084745763,3.53584437596302,3.556)); -#12169=CARTESIAN_POINT('',(-3.13175346687211,3.49357627118644,3.556)); -#12170=CARTESIAN_POINT('',(-3.21159322033898,3.4732249614792,3.556)); -#12171=CARTESIAN_POINT('',(-3.2447108653691,3.46478320882446,3.556)); -#12172=CARTESIAN_POINT('',(-3.3117842835131,3.45913559322034,3.556)); -#12173=CARTESIAN_POINT('',(-3.41040986132511,3.46070107858243,3.556)); -#12174=CARTESIAN_POINT('',(-3.09105084745763,5.33928351309707,3.556)); -#12175=CARTESIAN_POINT('',(-3.41040986132511,3.46070107858243,3.556)); -#12176=CARTESIAN_POINT('',(-3.09105084745763,5.33928351309707,3.6322)); -#12177=CARTESIAN_POINT('',(-3.09105084745763,5.33928351309707,3.6322)); -#12178=CARTESIAN_POINT('',(-3.09105084745763,5.33928351309707,3.6322)); -#12179=CARTESIAN_POINT('',(-3.09105084745763,4.75953210066769,3.6322)); -#12180=CARTESIAN_POINT('',(-3.09105084745763,4.17978068823831,3.6322)); -#12181=CARTESIAN_POINT('',(-3.09105084745763,3.60002927580894,3.6322)); -#12182=CARTESIAN_POINT('',(-3.09105084745763,3.53584437596302,3.6322)); -#12183=CARTESIAN_POINT('',(-3.13175346687211,3.49357627118644,3.6322)); -#12184=CARTESIAN_POINT('',(-3.21159322033898,3.4732249614792,3.6322)); -#12185=CARTESIAN_POINT('',(-3.2447108653691,3.46478320882446,3.6322)); -#12186=CARTESIAN_POINT('',(-3.3117842835131,3.45913559322034,3.6322)); -#12187=CARTESIAN_POINT('',(-3.41040986132511,3.46070107858243,3.6322)); -#12188=CARTESIAN_POINT('',(-3.41040986132511,3.46070107858243,3.6322)); -#12189=CARTESIAN_POINT('',(-3.41040986132511,3.46070107858243,3.6322)); -#12190=CARTESIAN_POINT('',(-3.41040986132511,3.46070107858243,3.6322)); -#12191=CARTESIAN_POINT('',(-3.41040986132511,3.46070107858243,3.556)); -#12192=CARTESIAN_POINT('',(-3.41040986132511,3.41164920390344,3.556)); -#12193=CARTESIAN_POINT('',(-3.41040986132511,3.36259732922445,3.556)); -#12194=CARTESIAN_POINT('',(-3.41040986132511,3.31354545454545,3.556)); -#12195=CARTESIAN_POINT('',(-3.41040986132511,3.31354545454545,3.556)); -#12196=CARTESIAN_POINT('',(-3.41040986132511,3.46070107858243,3.6322)); -#12197=CARTESIAN_POINT('',(-3.41040986132511,3.41164920390344,3.6322)); -#12198=CARTESIAN_POINT('',(-3.41040986132511,3.36259732922445,3.6322)); -#12199=CARTESIAN_POINT('',(-3.41040986132511,3.31354545454545,3.6322)); -#12200=CARTESIAN_POINT('',(-3.41040986132511,3.31354545454545,3.6322)); -#12201=CARTESIAN_POINT('',(-3.41040986132511,3.31354545454545,3.6322)); -#12202=CARTESIAN_POINT('',(-3.41040986132511,3.31354545454545,3.6322)); -#12203=CARTESIAN_POINT('',(-3.41040986132511,3.31354545454545,3.556)); -#12204=CARTESIAN_POINT('',(-3.26380205329985,3.31354545454545,3.6322)); -#12205=CARTESIAN_POINT('',(-3.26380205329985,3.31354545454545,3.556)); -#12206=CARTESIAN_POINT('',(-3.09777007619191,3.33871941988625,3.6322)); -#12207=CARTESIAN_POINT('',(-3.09777007619191,3.33871941988625,3.556)); -#12208=CARTESIAN_POINT('',(-2.98785918767931,3.3903325115951,3.6322)); -#12209=CARTESIAN_POINT('',(-2.98785918767931,3.3903325115951,3.556)); -#12210=CARTESIAN_POINT('',(-2.93605309576773,3.54035249603263,3.6322)); -#12211=CARTESIAN_POINT('',(-2.93605309576773,3.54035249603263,3.556)); -#12212=CARTESIAN_POINT('',(-2.94594683138852,3.70734162795703,3.6322)); -#12213=CARTESIAN_POINT('',(-2.94594683138852,3.70734162795703,3.556)); -#12214=CARTESIAN_POINT('',(-2.94272561513989,3.89965878526376,3.6322)); -#12215=CARTESIAN_POINT('',(-2.94272561513989,3.89965878526376,3.556)); -#12216=CARTESIAN_POINT('',(-2.94473887529529,4.25035361477763,3.6322)); -#12217=CARTESIAN_POINT('',(-2.94473887529529,4.25035361477763,3.556)); -#12218=CARTESIAN_POINT('',(-2.94358844092078,4.74390422614408,3.6322)); -#12219=CARTESIAN_POINT('',(-2.94358844092078,4.74390422614408,3.556)); -#12220=CARTESIAN_POINT('',(-2.94389522342065,5.14101275401052,3.6322)); -#12221=CARTESIAN_POINT('',(-2.94389522342065,5.14101275401052,3.556)); -#12222=CARTESIAN_POINT('',(-2.94389522342065,5.33928351309707,3.6322)); -#12223=CARTESIAN_POINT('',(-2.94389522342065,5.33928351309707,3.556)); -#12224=CARTESIAN_POINT('',(-3.41040986132511,3.31354545454545,3.556)); -#12225=CARTESIAN_POINT('',(-3.2068967642527,3.31354545454545,3.556)); -#12226=CARTESIAN_POINT('',(-3.07226502311248,3.33859322033898,3.556)); -#12227=CARTESIAN_POINT('',(-3.01121109399076,3.39025423728813,3.556)); -#12228=CARTESIAN_POINT('',(-2.96713998193507,3.42754517825833,3.556)); -#12229=CARTESIAN_POINT('',(-2.94389522342065,3.49514175654854,3.556)); -#12230=CARTESIAN_POINT('',(-2.94389522342065,3.59689830508475,3.556)); -#12231=CARTESIAN_POINT('',(-2.94389522342065,4.17769337442219,3.556)); -#12232=CARTESIAN_POINT('',(-2.94389522342065,4.75848844375963,3.556)); -#12233=CARTESIAN_POINT('',(-2.94389522342065,5.33928351309707,3.556)); -#12234=CARTESIAN_POINT('',(-2.94389522342065,5.33928351309707,3.556)); -#12235=CARTESIAN_POINT('',(-3.41040986132511,3.31354545454545,3.6322)); -#12236=CARTESIAN_POINT('',(-3.2068967642527,3.31354545454545,3.6322)); -#12237=CARTESIAN_POINT('',(-3.07226502311248,3.33859322033898,3.6322)); -#12238=CARTESIAN_POINT('',(-3.01121109399076,3.39025423728813,3.6322)); -#12239=CARTESIAN_POINT('',(-2.96713998193507,3.42754517825833,3.6322)); -#12240=CARTESIAN_POINT('',(-2.94389522342065,3.49514175654854,3.6322)); -#12241=CARTESIAN_POINT('',(-2.94389522342065,3.59689830508475,3.6322)); -#12242=CARTESIAN_POINT('',(-2.94389522342065,4.17769337442219,3.6322)); -#12243=CARTESIAN_POINT('',(-2.94389522342065,4.75848844375963,3.6322)); -#12244=CARTESIAN_POINT('',(-2.94389522342065,5.33928351309707,3.6322)); -#12245=CARTESIAN_POINT('',(-2.94389522342065,5.33928351309707,3.6322)); -#12246=CARTESIAN_POINT('',(-2.94389522342065,5.33928351309707,3.6322)); -#12247=CARTESIAN_POINT('',(-2.94389522342065,5.33928351309707,3.6322)); -#12248=CARTESIAN_POINT('',(-2.94389522342065,5.33928351309707,3.556)); -#12249=CARTESIAN_POINT('',(-2.99294709809964,5.33928351309707,3.556)); -#12250=CARTESIAN_POINT('',(-3.04199897277863,5.33928351309707,3.556)); -#12251=CARTESIAN_POINT('',(-3.09105084745763,5.33928351309707,3.556)); -#12252=CARTESIAN_POINT('',(-2.94389522342065,5.33928351309707,3.6322)); -#12253=CARTESIAN_POINT('',(-2.99294709809964,5.33928351309707,3.6322)); -#12254=CARTESIAN_POINT('',(-3.04199897277863,5.33928351309707,3.6322)); -#12255=CARTESIAN_POINT('',(-3.09105084745763,5.33928351309707,3.6322)); -#12256=CARTESIAN_POINT('',(-3.09105084745763,4.27451263150892,3.6322)); -#12257=CARTESIAN_POINT('',(-3.09105084745763,4.27451263150892,3.556)); -#12258=CARTESIAN_POINT('',(3.00808012326656,0.786852080123267,3.6322)); -#12259=CARTESIAN_POINT('',(3.00808012326656,0.786852080123267,3.556)); -#12260=CARTESIAN_POINT('',(3.00808012326656,0.639696456086287,3.556)); -#12261=CARTESIAN_POINT('',(3.00808012326656,0.786852080123267,3.556)); -#12262=CARTESIAN_POINT('',(3.00808012326656,0.639696456086287,3.556)); -#12263=CARTESIAN_POINT('',(3.00808012326656,0.786852080123267,3.6322)); -#12264=CARTESIAN_POINT('',(3.00808012326656,0.786852080123267,3.6322)); -#12265=CARTESIAN_POINT('',(3.00808012326656,0.786852080123267,3.6322)); -#12266=CARTESIAN_POINT('',(3.00808012326656,0.639696456086287,3.6322)); -#12267=CARTESIAN_POINT('',(3.00808012326656,0.639696456086287,3.6322)); -#12268=CARTESIAN_POINT('',(3.00808012326656,0.639696456086287,3.6322)); -#12269=CARTESIAN_POINT('',(3.00808012326656,0.639696456086287,3.6322)); -#12270=CARTESIAN_POINT('',(3.00808012326656,0.639696456086287,3.556)); -#12271=CARTESIAN_POINT('',(3.72507241910632,0.639696456086287,3.556)); -#12272=CARTESIAN_POINT('',(3.72507241910632,0.639696456086287,3.556)); -#12273=CARTESIAN_POINT('',(3.00808012326656,0.639696456086287,3.6322)); -#12274=CARTESIAN_POINT('',(3.72507241910632,0.639696456086287,3.6322)); -#12275=CARTESIAN_POINT('',(3.72507241910632,0.639696456086287,3.6322)); -#12276=CARTESIAN_POINT('',(3.72507241910632,0.639696456086287,3.6322)); -#12277=CARTESIAN_POINT('',(3.72507241910632,0.639696456086287,3.6322)); -#12278=CARTESIAN_POINT('',(3.72507241910632,0.639696456086287,3.556)); -#12279=CARTESIAN_POINT('',(3.72507241910632,2.67169645608629,3.556)); -#12280=CARTESIAN_POINT('',(3.72507241910632,2.67169645608629,3.556)); -#12281=CARTESIAN_POINT('',(3.72507241910632,0.639696456086287,3.6322)); -#12282=CARTESIAN_POINT('',(3.72507241910632,2.67169645608629,3.6322)); -#12283=CARTESIAN_POINT('',(3.72507241910632,2.67169645608629,3.6322)); -#12284=CARTESIAN_POINT('',(3.72507241910632,2.67169645608629,3.6322)); -#12285=CARTESIAN_POINT('',(3.72507241910632,2.67169645608629,3.6322)); -#12286=CARTESIAN_POINT('',(3.72507241910632,2.67169645608629,3.556)); -#12287=CARTESIAN_POINT('',(3.57948228043143,2.67169645608629,3.556)); -#12288=CARTESIAN_POINT('',(3.57948228043143,2.67169645608629,3.556)); -#12289=CARTESIAN_POINT('',(3.72507241910632,2.67169645608629,3.6322)); -#12290=CARTESIAN_POINT('',(3.57948228043143,2.67169645608629,3.6322)); -#12291=CARTESIAN_POINT('',(3.57948228043143,2.67169645608629,3.6322)); -#12292=CARTESIAN_POINT('',(3.57948228043143,2.67169645608629,3.6322)); -#12293=CARTESIAN_POINT('',(3.57948228043143,2.67169645608629,3.6322)); -#12294=CARTESIAN_POINT('',(3.57948228043143,2.67169645608629,3.556)); -#12295=CARTESIAN_POINT('',(3.57948228043143,0.786852080123267,3.556)); -#12296=CARTESIAN_POINT('',(3.57948228043143,0.786852080123267,3.556)); -#12297=CARTESIAN_POINT('',(3.57948228043143,2.67169645608629,3.6322)); -#12298=CARTESIAN_POINT('',(3.57948228043143,0.786852080123267,3.6322)); -#12299=CARTESIAN_POINT('',(3.57948228043143,0.786852080123267,3.6322)); -#12300=CARTESIAN_POINT('',(3.57948228043143,0.786852080123267,3.6322)); -#12301=CARTESIAN_POINT('',(3.57948228043143,0.786852080123267,3.6322)); -#12302=CARTESIAN_POINT('',(3.57948228043143,0.786852080123267,3.556)); -#12303=CARTESIAN_POINT('',(3.00808012326656,0.786852080123267,3.556)); -#12304=CARTESIAN_POINT('',(3.57948228043143,0.786852080123267,3.6322)); -#12305=CARTESIAN_POINT('',(3.00808012326656,0.786852080123267,3.6322)); -#12306=CARTESIAN_POINT('',(3.00808012326656,0.713274268104777,3.6322)); -#12307=CARTESIAN_POINT('',(3.00808012326656,0.713274268104777,3.556)); -#12308=CARTESIAN_POINT('',(-0.141676425269646,2.52454083204931,3.6322)); -#12309=CARTESIAN_POINT('',(-0.141676425269646,2.52454083204931,3.556)); -#12310=CARTESIAN_POINT('',(-0.0900154083204929,2.52454083204931,3.556)); -#12311=CARTESIAN_POINT('',(-0.0383543913713406,2.52454083204931,3.556)); -#12312=CARTESIAN_POINT('',(0.0133066255778121,2.52454083204931,3.556)); -#12313=CARTESIAN_POINT('',(-0.141676425269646,2.52454083204931,3.556)); -#12314=CARTESIAN_POINT('',(0.0133066255778121,2.52454083204931,3.556)); -#12315=CARTESIAN_POINT('',(-0.141676425269646,2.52454083204931,3.6322)); -#12316=CARTESIAN_POINT('',(-0.141676425269646,2.52454083204931,3.6322)); -#12317=CARTESIAN_POINT('',(-0.141676425269646,2.52454083204931,3.6322)); -#12318=CARTESIAN_POINT('',(-0.0900154083204929,2.52454083204931,3.6322)); -#12319=CARTESIAN_POINT('',(-0.0383543913713406,2.52454083204931,3.6322)); -#12320=CARTESIAN_POINT('',(0.0133066255778121,2.52454083204931,3.6322)); -#12321=CARTESIAN_POINT('',(0.0133066255778121,2.52454083204931,3.6322)); -#12322=CARTESIAN_POINT('',(0.0133066255778121,2.52454083204931,3.6322)); -#12323=CARTESIAN_POINT('',(0.0133066255778121,2.52454083204931,3.6322)); -#12324=CARTESIAN_POINT('',(0.0133066255778121,2.52454083204931,3.556)); -#12325=CARTESIAN_POINT('',(0.0870984449146652,2.52454083204931,3.6322)); -#12326=CARTESIAN_POINT('',(0.0870984449146652,2.52454083204931,3.556)); -#12327=CARTESIAN_POINT('',(0.185920898439947,2.46593994087632,3.6322)); -#12328=CARTESIAN_POINT('',(0.185920898439947,2.46593994087632,3.556)); -#12329=CARTESIAN_POINT('',(0.177749155851287,2.20942341969897,3.6322)); -#12330=CARTESIAN_POINT('',(0.177749155851287,2.20942341969897,3.556)); -#12331=CARTESIAN_POINT('',(0.180813559322034,2.04316902108625,3.6322)); -#12332=CARTESIAN_POINT('',(0.180813559322034,2.04316902108625,3.556)); -#12333=CARTESIAN_POINT('',(0.180813559322034,1.92652542372881,3.6322)); -#12334=CARTESIAN_POINT('',(0.180813559322034,1.92652542372881,3.556)); -#12335=CARTESIAN_POINT('',(0.0133066255778121,2.52454083204931,3.556)); -#12336=CARTESIAN_POINT('',(0.0947118644067797,2.52454083204931,3.556)); -#12337=CARTESIAN_POINT('',(0.146372881355932,2.4932311248074,3.556)); -#12338=CARTESIAN_POINT('',(0.166724191063174,2.43217719568567,3.556)); -#12339=CARTESIAN_POINT('',(0.177056394453005,2.40118058551618,3.556)); -#12340=CARTESIAN_POINT('',(0.180813559322034,2.35859938366718,3.556)); -#12341=CARTESIAN_POINT('',(0.180813559322034,2.30224191063174,3.556)); -#12342=CARTESIAN_POINT('',(0.180813559322034,2.1770030816641,3.556)); -#12343=CARTESIAN_POINT('',(0.180813559322034,2.05176425269646,3.556)); -#12344=CARTESIAN_POINT('',(0.180813559322034,1.92652542372881,3.556)); -#12345=CARTESIAN_POINT('',(0.180813559322034,1.92652542372881,3.556)); -#12346=CARTESIAN_POINT('',(0.0133066255778121,2.52454083204931,3.6322)); -#12347=CARTESIAN_POINT('',(0.0947118644067797,2.52454083204931,3.6322)); -#12348=CARTESIAN_POINT('',(0.146372881355932,2.4932311248074,3.6322)); -#12349=CARTESIAN_POINT('',(0.166724191063174,2.43217719568567,3.6322)); -#12350=CARTESIAN_POINT('',(0.177056394453005,2.40118058551618,3.6322)); -#12351=CARTESIAN_POINT('',(0.180813559322034,2.35859938366718,3.6322)); -#12352=CARTESIAN_POINT('',(0.180813559322034,2.30224191063174,3.6322)); -#12353=CARTESIAN_POINT('',(0.180813559322034,2.1770030816641,3.6322)); -#12354=CARTESIAN_POINT('',(0.180813559322034,2.05176425269646,3.6322)); -#12355=CARTESIAN_POINT('',(0.180813559322034,1.92652542372881,3.6322)); -#12356=CARTESIAN_POINT('',(0.180813559322034,1.92652542372881,3.6322)); -#12357=CARTESIAN_POINT('',(0.180813559322034,1.92652542372881,3.6322)); -#12358=CARTESIAN_POINT('',(0.180813559322034,1.92652542372881,3.6322)); -#12359=CARTESIAN_POINT('',(0.180813559322034,1.92652542372881,3.556)); -#12360=CARTESIAN_POINT('',(0.0733168977914744,1.92652542372881,3.556)); -#12361=CARTESIAN_POINT('',(-0.0341797637390858,1.92652542372881,3.556)); -#12362=CARTESIAN_POINT('',(-0.141676425269646,1.92652542372881,3.556)); -#12363=CARTESIAN_POINT('',(-0.141676425269646,1.92652542372881,3.556)); -#12364=CARTESIAN_POINT('',(0.180813559322034,1.92652542372881,3.6322)); -#12365=CARTESIAN_POINT('',(0.0733168977914744,1.92652542372881,3.6322)); -#12366=CARTESIAN_POINT('',(-0.0341797637390858,1.92652542372881,3.6322)); -#12367=CARTESIAN_POINT('',(-0.141676425269646,1.92652542372881,3.6322)); -#12368=CARTESIAN_POINT('',(-0.141676425269646,1.92652542372881,3.6322)); -#12369=CARTESIAN_POINT('',(-0.141676425269646,1.92652542372881,3.6322)); -#12370=CARTESIAN_POINT('',(-0.141676425269646,1.92652542372881,3.6322)); -#12371=CARTESIAN_POINT('',(-0.141676425269646,1.92652542372881,3.556)); -#12372=CARTESIAN_POINT('',(-0.141676425269646,1.87903903441191,3.556)); -#12373=CARTESIAN_POINT('',(-0.141676425269646,1.83155264509502,3.556)); -#12374=CARTESIAN_POINT('',(-0.141676425269646,1.78406625577812,3.556)); -#12375=CARTESIAN_POINT('',(-0.141676425269646,1.78406625577812,3.556)); -#12376=CARTESIAN_POINT('',(-0.141676425269646,1.92652542372881,3.6322)); -#12377=CARTESIAN_POINT('',(-0.141676425269646,1.87903903441191,3.6322)); -#12378=CARTESIAN_POINT('',(-0.141676425269646,1.83155264509502,3.6322)); -#12379=CARTESIAN_POINT('',(-0.141676425269646,1.78406625577812,3.6322)); -#12380=CARTESIAN_POINT('',(-0.141676425269646,1.78406625577812,3.6322)); -#12381=CARTESIAN_POINT('',(-0.141676425269646,1.78406625577812,3.6322)); -#12382=CARTESIAN_POINT('',(-0.141676425269646,1.78406625577812,3.6322)); -#12383=CARTESIAN_POINT('',(-0.141676425269646,1.78406625577812,3.556)); -#12384=CARTESIAN_POINT('',(-0.0341797637390858,1.78406625577812,3.556)); -#12385=CARTESIAN_POINT('',(0.073316897791474,1.78406625577812,3.556)); -#12386=CARTESIAN_POINT('',(0.180813559322034,1.78406625577812,3.556)); -#12387=CARTESIAN_POINT('',(0.180813559322034,1.78406625577812,3.556)); -#12388=CARTESIAN_POINT('',(-0.141676425269646,1.78406625577812,3.6322)); -#12389=CARTESIAN_POINT('',(-0.0341797637390858,1.78406625577812,3.6322)); -#12390=CARTESIAN_POINT('',(0.073316897791474,1.78406625577812,3.6322)); -#12391=CARTESIAN_POINT('',(0.180813559322034,1.78406625577812,3.6322)); -#12392=CARTESIAN_POINT('',(0.180813559322034,1.78406625577812,3.6322)); -#12393=CARTESIAN_POINT('',(0.180813559322034,1.78406625577812,3.6322)); -#12394=CARTESIAN_POINT('',(0.180813559322034,1.78406625577812,3.6322)); -#12395=CARTESIAN_POINT('',(0.180813559322034,1.78406625577812,3.556)); -#12396=CARTESIAN_POINT('',(0.180813559322034,1.40417514124294,3.556)); -#12397=CARTESIAN_POINT('',(0.180813559322035,1.02428402670775,3.556)); -#12398=CARTESIAN_POINT('',(0.180813559322034,0.644392912172572,3.556)); -#12399=CARTESIAN_POINT('',(0.180813559322034,0.644392912172572,3.556)); -#12400=CARTESIAN_POINT('',(0.180813559322034,1.78406625577812,3.6322)); -#12401=CARTESIAN_POINT('',(0.180813559322034,1.40417514124294,3.6322)); -#12402=CARTESIAN_POINT('',(0.180813559322035,1.02428402670775,3.6322)); -#12403=CARTESIAN_POINT('',(0.180813559322034,0.644392912172572,3.6322)); -#12404=CARTESIAN_POINT('',(0.180813559322034,0.644392912172572,3.6322)); -#12405=CARTESIAN_POINT('',(0.180813559322034,0.644392912172572,3.6322)); -#12406=CARTESIAN_POINT('',(0.180813559322034,0.644392912172572,3.6322)); -#12407=CARTESIAN_POINT('',(0.180813559322034,0.644392912172572,3.556)); -#12408=CARTESIAN_POINT('',(0.229343605546996,0.644392912172572,3.556)); -#12409=CARTESIAN_POINT('',(0.277873651771957,0.644392912172572,3.556)); -#12410=CARTESIAN_POINT('',(0.326403697996918,0.644392912172572,3.556)); -#12411=CARTESIAN_POINT('',(0.326403697996918,0.644392912172572,3.556)); -#12412=CARTESIAN_POINT('',(0.180813559322034,0.644392912172572,3.6322)); -#12413=CARTESIAN_POINT('',(0.229343605546996,0.644392912172572,3.6322)); -#12414=CARTESIAN_POINT('',(0.277873651771957,0.644392912172572,3.6322)); -#12415=CARTESIAN_POINT('',(0.326403697996918,0.644392912172572,3.6322)); -#12416=CARTESIAN_POINT('',(0.326403697996918,0.644392912172572,3.6322)); -#12417=CARTESIAN_POINT('',(0.326403697996918,0.644392912172572,3.6322)); -#12418=CARTESIAN_POINT('',(0.326403697996918,0.644392912172572,3.6322)); -#12419=CARTESIAN_POINT('',(0.326403697996918,0.644392912172572,3.556)); -#12420=CARTESIAN_POINT('',(0.326403697996918,1.02428402670775,3.556)); -#12421=CARTESIAN_POINT('',(0.326403697996919,1.40417514124294,3.556)); -#12422=CARTESIAN_POINT('',(0.326403697996918,1.78406625577812,3.556)); -#12423=CARTESIAN_POINT('',(0.326403697996918,1.78406625577812,3.556)); -#12424=CARTESIAN_POINT('',(0.326403697996918,0.644392912172572,3.6322)); -#12425=CARTESIAN_POINT('',(0.326403697996918,1.02428402670775,3.6322)); -#12426=CARTESIAN_POINT('',(0.326403697996919,1.40417514124294,3.6322)); -#12427=CARTESIAN_POINT('',(0.326403697996918,1.78406625577812,3.6322)); -#12428=CARTESIAN_POINT('',(0.326403697996918,1.78406625577812,3.6322)); -#12429=CARTESIAN_POINT('',(0.326403697996918,1.78406625577812,3.6322)); -#12430=CARTESIAN_POINT('',(0.326403697996918,1.78406625577812,3.6322)); -#12431=CARTESIAN_POINT('',(0.326403697996918,1.78406625577812,3.556)); -#12432=CARTESIAN_POINT('',(0.39476322547509,1.78406625577812,3.556)); -#12433=CARTESIAN_POINT('',(0.463122752953262,1.78406625577812,3.556)); -#12434=CARTESIAN_POINT('',(0.531482280431433,1.78406625577812,3.556)); -#12435=CARTESIAN_POINT('',(0.531482280431433,1.78406625577812,3.556)); -#12436=CARTESIAN_POINT('',(0.326403697996918,1.78406625577812,3.6322)); -#12437=CARTESIAN_POINT('',(0.39476322547509,1.78406625577812,3.6322)); -#12438=CARTESIAN_POINT('',(0.463122752953262,1.78406625577812,3.6322)); -#12439=CARTESIAN_POINT('',(0.531482280431433,1.78406625577812,3.6322)); -#12440=CARTESIAN_POINT('',(0.531482280431433,1.78406625577812,3.6322)); -#12441=CARTESIAN_POINT('',(0.531482280431433,1.78406625577812,3.6322)); -#12442=CARTESIAN_POINT('',(0.531482280431433,1.78406625577812,3.6322)); -#12443=CARTESIAN_POINT('',(0.531482280431433,1.78406625577812,3.556)); -#12444=CARTESIAN_POINT('',(0.531482280431433,1.83155264509502,3.556)); -#12445=CARTESIAN_POINT('',(0.531482280431433,1.87903903441191,3.556)); -#12446=CARTESIAN_POINT('',(0.531482280431433,1.92652542372881,3.556)); -#12447=CARTESIAN_POINT('',(0.531482280431433,1.92652542372881,3.556)); -#12448=CARTESIAN_POINT('',(0.531482280431433,1.78406625577812,3.6322)); -#12449=CARTESIAN_POINT('',(0.531482280431433,1.83155264509502,3.6322)); -#12450=CARTESIAN_POINT('',(0.531482280431433,1.87903903441191,3.6322)); -#12451=CARTESIAN_POINT('',(0.531482280431433,1.92652542372881,3.6322)); -#12452=CARTESIAN_POINT('',(0.531482280431433,1.92652542372881,3.6322)); -#12453=CARTESIAN_POINT('',(0.531482280431433,1.92652542372881,3.6322)); -#12454=CARTESIAN_POINT('',(0.531482280431433,1.92652542372881,3.6322)); -#12455=CARTESIAN_POINT('',(0.531482280431433,1.92652542372881,3.556)); -#12456=CARTESIAN_POINT('',(0.463122752953262,1.92652542372881,3.556)); -#12457=CARTESIAN_POINT('',(0.39476322547509,1.92652542372881,3.556)); -#12458=CARTESIAN_POINT('',(0.326403697996918,1.92652542372881,3.556)); -#12459=CARTESIAN_POINT('',(0.326403697996918,1.92652542372881,3.556)); -#12460=CARTESIAN_POINT('',(0.531482280431433,1.92652542372881,3.6322)); -#12461=CARTESIAN_POINT('',(0.463122752953262,1.92652542372881,3.6322)); -#12462=CARTESIAN_POINT('',(0.39476322547509,1.92652542372881,3.6322)); -#12463=CARTESIAN_POINT('',(0.326403697996918,1.92652542372881,3.6322)); -#12464=CARTESIAN_POINT('',(0.326403697996918,1.92652542372881,3.6322)); -#12465=CARTESIAN_POINT('',(0.326403697996918,1.92652542372881,3.6322)); -#12466=CARTESIAN_POINT('',(0.326403697996918,1.92652542372881,3.6322)); -#12467=CARTESIAN_POINT('',(0.326403697996918,1.92652542372881,3.556)); -#12468=CARTESIAN_POINT('',(0.326403697996918,2.01843550231159,3.6322)); -#12469=CARTESIAN_POINT('',(0.326403697996918,2.01843550231159,3.556)); -#12470=CARTESIAN_POINT('',(0.325223883768434,2.16068553883207,3.6322)); -#12471=CARTESIAN_POINT('',(0.325223883768434,2.16068553883207,3.556)); -#12472=CARTESIAN_POINT('',(0.328370055044392,2.33281257960743,3.6322)); -#12473=CARTESIAN_POINT('',(0.328370055044392,2.33281257960743,3.556)); -#12474=CARTESIAN_POINT('',(0.315785369940561,2.56340520233373,3.6322)); -#12475=CARTESIAN_POINT('',(0.315785369940561,2.56340520233373,3.556)); -#12476=CARTESIAN_POINT('',(0.159252975182037,2.69376337194664,3.6322)); -#12477=CARTESIAN_POINT('',(0.159252975182037,2.69376337194664,3.556)); -#12478=CARTESIAN_POINT('',(-0.0497668019888887,2.67169645608629,3.6322)); -#12479=CARTESIAN_POINT('',(-0.0497668019888887,2.67169645608629,3.556)); -#12480=CARTESIAN_POINT('',(-0.141676425269646,2.67169645608629,3.6322)); -#12481=CARTESIAN_POINT('',(-0.141676425269646,2.67169645608629,3.556)); -#12482=CARTESIAN_POINT('',(0.326403697996918,1.92652542372881,3.556)); -#12483=CARTESIAN_POINT('',(0.326403697996918,2.07107190549563,3.556)); -#12484=CARTESIAN_POINT('',(0.326403697996918,2.21561838726245,3.556)); -#12485=CARTESIAN_POINT('',(0.326403697996918,2.36016486902927,3.556)); -#12486=CARTESIAN_POINT('',(0.326403697996918,2.56680893682589,3.556)); -#12487=CARTESIAN_POINT('',(0.235605546995378,2.67013097072419,3.556)); -#12488=CARTESIAN_POINT('',(0.0540092449922964,2.67169645608629,3.556)); -#12489=CARTESIAN_POINT('',(-0.0112144645775606,2.67225872944465,3.556)); -#12490=CARTESIAN_POINT('',(-0.076447868515665,2.67169645608629,3.556)); -#12491=CARTESIAN_POINT('',(-0.141676425269646,2.67169645608629,3.556)); -#12492=CARTESIAN_POINT('',(-0.141676425269646,2.67169645608629,3.556)); -#12493=CARTESIAN_POINT('',(0.326403697996918,1.92652542372881,3.6322)); -#12494=CARTESIAN_POINT('',(0.326403697996918,2.07107190549563,3.6322)); -#12495=CARTESIAN_POINT('',(0.326403697996918,2.21561838726245,3.6322)); -#12496=CARTESIAN_POINT('',(0.326403697996918,2.36016486902927,3.6322)); -#12497=CARTESIAN_POINT('',(0.326403697996918,2.56680893682589,3.6322)); -#12498=CARTESIAN_POINT('',(0.235605546995378,2.67013097072419,3.6322)); -#12499=CARTESIAN_POINT('',(0.0540092449922964,2.67169645608629,3.6322)); -#12500=CARTESIAN_POINT('',(-0.0112144645775606,2.67225872944465,3.6322)); -#12501=CARTESIAN_POINT('',(-0.076447868515665,2.67169645608629,3.6322)); -#12502=CARTESIAN_POINT('',(-0.141676425269646,2.67169645608629,3.6322)); -#12503=CARTESIAN_POINT('',(-0.141676425269646,2.67169645608629,3.6322)); -#12504=CARTESIAN_POINT('',(-0.141676425269646,2.67169645608629,3.6322)); -#12505=CARTESIAN_POINT('',(-0.141676425269646,2.67169645608629,3.6322)); -#12506=CARTESIAN_POINT('',(-0.141676425269646,2.67169645608629,3.556)); -#12507=CARTESIAN_POINT('',(-0.141676425269646,2.62264458140729,3.556)); -#12508=CARTESIAN_POINT('',(-0.141676425269646,2.5735927067283,3.556)); -#12509=CARTESIAN_POINT('',(-0.141676425269646,2.52454083204931,3.556)); -#12510=CARTESIAN_POINT('',(-0.141676425269646,2.67169645608629,3.6322)); -#12511=CARTESIAN_POINT('',(-0.141676425269646,2.62264458140729,3.6322)); -#12512=CARTESIAN_POINT('',(-0.141676425269646,2.5735927067283,3.6322)); -#12513=CARTESIAN_POINT('',(-0.141676425269646,2.52454083204931,3.6322)); -#12514=CARTESIAN_POINT('',(0.180813559322034,2.27645621580112,3.6322)); -#12515=CARTESIAN_POINT('',(0.180813559322034,2.27645621580112,3.556)); -#12516=CARTESIAN_POINT('',(-1.00895531587057,2.52454083204931,3.6322)); -#12517=CARTESIAN_POINT('',(-1.00895531587057,2.52454083204931,3.556)); -#12518=CARTESIAN_POINT('',(-0.957294298921417,2.52454083204931,3.556)); -#12519=CARTESIAN_POINT('',(-0.905633281972265,2.52454083204931,3.556)); -#12520=CARTESIAN_POINT('',(-0.853972265023112,2.52454083204931,3.556)); -#12521=CARTESIAN_POINT('',(-1.00895531587057,2.52454083204931,3.556)); -#12522=CARTESIAN_POINT('',(-0.853972265023112,2.52454083204931,3.556)); -#12523=CARTESIAN_POINT('',(-1.00895531587057,2.52454083204931,3.6322)); -#12524=CARTESIAN_POINT('',(-1.00895531587057,2.52454083204931,3.6322)); -#12525=CARTESIAN_POINT('',(-1.00895531587057,2.52454083204931,3.6322)); -#12526=CARTESIAN_POINT('',(-0.957294298921417,2.52454083204931,3.6322)); -#12527=CARTESIAN_POINT('',(-0.905633281972265,2.52454083204931,3.6322)); -#12528=CARTESIAN_POINT('',(-0.853972265023112,2.52454083204931,3.6322)); -#12529=CARTESIAN_POINT('',(-0.853972265023112,2.52454083204931,3.6322)); -#12530=CARTESIAN_POINT('',(-0.853972265023112,2.52454083204931,3.6322)); -#12531=CARTESIAN_POINT('',(-0.853972265023112,2.52454083204931,3.6322)); -#12532=CARTESIAN_POINT('',(-0.853972265023112,2.52454083204931,3.556)); -#12533=CARTESIAN_POINT('',(-0.705849681208192,2.52454083204931,3.6322)); -#12534=CARTESIAN_POINT('',(-0.705849681208192,2.52454083204931,3.556)); -#12535=CARTESIAN_POINT('',(-0.676773156314239,2.26857674682947,3.6322)); -#12536=CARTESIAN_POINT('',(-0.676773156314239,2.26857674682947,3.556)); -#12537=CARTESIAN_POINT('',(-0.68646533127889,2.04308161050352,3.6322)); -#12538=CARTESIAN_POINT('',(-0.68646533127889,2.04308161050352,3.556)); -#12539=CARTESIAN_POINT('',(-0.68646533127889,1.92652542372881,3.6322)); -#12540=CARTESIAN_POINT('',(-0.68646533127889,1.92652542372881,3.556)); -#12541=CARTESIAN_POINT('',(-0.853972265023112,2.52454083204931,3.556)); -#12542=CARTESIAN_POINT('',(-0.772567026194145,2.52454083204931,3.556)); -#12543=CARTESIAN_POINT('',(-0.720906009244992,2.4932311248074,3.556)); -#12544=CARTESIAN_POINT('',(-0.702120184899845,2.43217719568567,3.556)); -#12545=CARTESIAN_POINT('',(-0.692371865239661,2.40049515679007,3.556)); -#12546=CARTESIAN_POINT('',(-0.68646533127889,2.35859938366718,3.556)); -#12547=CARTESIAN_POINT('',(-0.68646533127889,2.30224191063174,3.556)); -#12548=CARTESIAN_POINT('',(-0.68646533127889,2.1770030816641,3.556)); -#12549=CARTESIAN_POINT('',(-0.68646533127889,2.05176425269646,3.556)); -#12550=CARTESIAN_POINT('',(-0.68646533127889,1.92652542372881,3.556)); -#12551=CARTESIAN_POINT('',(-0.68646533127889,1.92652542372881,3.556)); -#12552=CARTESIAN_POINT('',(-0.853972265023112,2.52454083204931,3.6322)); -#12553=CARTESIAN_POINT('',(-0.772567026194145,2.52454083204931,3.6322)); -#12554=CARTESIAN_POINT('',(-0.720906009244992,2.4932311248074,3.6322)); -#12555=CARTESIAN_POINT('',(-0.702120184899845,2.43217719568567,3.6322)); -#12556=CARTESIAN_POINT('',(-0.692371865239661,2.40049515679007,3.6322)); -#12557=CARTESIAN_POINT('',(-0.68646533127889,2.35859938366718,3.6322)); -#12558=CARTESIAN_POINT('',(-0.68646533127889,2.30224191063174,3.6322)); -#12559=CARTESIAN_POINT('',(-0.68646533127889,2.1770030816641,3.6322)); -#12560=CARTESIAN_POINT('',(-0.68646533127889,2.05176425269646,3.6322)); -#12561=CARTESIAN_POINT('',(-0.68646533127889,1.92652542372881,3.6322)); -#12562=CARTESIAN_POINT('',(-0.68646533127889,1.92652542372881,3.6322)); -#12563=CARTESIAN_POINT('',(-0.68646533127889,1.92652542372881,3.6322)); -#12564=CARTESIAN_POINT('',(-0.68646533127889,1.92652542372881,3.6322)); -#12565=CARTESIAN_POINT('',(-0.68646533127889,1.92652542372881,3.556)); -#12566=CARTESIAN_POINT('',(-0.79396199280945,1.92652542372881,3.556)); -#12567=CARTESIAN_POINT('',(-0.901458654340009,1.92652542372881,3.556)); -#12568=CARTESIAN_POINT('',(-1.00895531587057,1.92652542372881,3.556)); -#12569=CARTESIAN_POINT('',(-1.00895531587057,1.92652542372881,3.556)); -#12570=CARTESIAN_POINT('',(-0.68646533127889,1.92652542372881,3.6322)); -#12571=CARTESIAN_POINT('',(-0.79396199280945,1.92652542372881,3.6322)); -#12572=CARTESIAN_POINT('',(-0.901458654340009,1.92652542372881,3.6322)); -#12573=CARTESIAN_POINT('',(-1.00895531587057,1.92652542372881,3.6322)); -#12574=CARTESIAN_POINT('',(-1.00895531587057,1.92652542372881,3.6322)); -#12575=CARTESIAN_POINT('',(-1.00895531587057,1.92652542372881,3.6322)); -#12576=CARTESIAN_POINT('',(-1.00895531587057,1.92652542372881,3.6322)); -#12577=CARTESIAN_POINT('',(-1.00895531587057,1.92652542372881,3.556)); -#12578=CARTESIAN_POINT('',(-1.00895531587057,1.87903903441191,3.556)); -#12579=CARTESIAN_POINT('',(-1.00895531587057,1.83155264509502,3.556)); -#12580=CARTESIAN_POINT('',(-1.00895531587057,1.78406625577812,3.556)); -#12581=CARTESIAN_POINT('',(-1.00895531587057,1.78406625577812,3.556)); -#12582=CARTESIAN_POINT('',(-1.00895531587057,1.92652542372881,3.6322)); -#12583=CARTESIAN_POINT('',(-1.00895531587057,1.87903903441191,3.6322)); -#12584=CARTESIAN_POINT('',(-1.00895531587057,1.83155264509502,3.6322)); -#12585=CARTESIAN_POINT('',(-1.00895531587057,1.78406625577812,3.6322)); -#12586=CARTESIAN_POINT('',(-1.00895531587057,1.78406625577812,3.6322)); -#12587=CARTESIAN_POINT('',(-1.00895531587057,1.78406625577812,3.6322)); -#12588=CARTESIAN_POINT('',(-1.00895531587057,1.78406625577812,3.6322)); -#12589=CARTESIAN_POINT('',(-1.00895531587057,1.78406625577812,3.556)); -#12590=CARTESIAN_POINT('',(-0.901458654340009,1.78406625577812,3.556)); -#12591=CARTESIAN_POINT('',(-0.79396199280945,1.78406625577812,3.556)); -#12592=CARTESIAN_POINT('',(-0.68646533127889,1.78406625577812,3.556)); -#12593=CARTESIAN_POINT('',(-0.68646533127889,1.78406625577812,3.556)); -#12594=CARTESIAN_POINT('',(-1.00895531587057,1.78406625577812,3.6322)); -#12595=CARTESIAN_POINT('',(-0.901458654340009,1.78406625577812,3.6322)); -#12596=CARTESIAN_POINT('',(-0.79396199280945,1.78406625577812,3.6322)); -#12597=CARTESIAN_POINT('',(-0.68646533127889,1.78406625577812,3.6322)); -#12598=CARTESIAN_POINT('',(-0.68646533127889,1.78406625577812,3.6322)); -#12599=CARTESIAN_POINT('',(-0.68646533127889,1.78406625577812,3.6322)); -#12600=CARTESIAN_POINT('',(-0.68646533127889,1.78406625577812,3.6322)); -#12601=CARTESIAN_POINT('',(-0.68646533127889,1.78406625577812,3.556)); -#12602=CARTESIAN_POINT('',(-0.68646533127889,1.40417514124294,3.556)); -#12603=CARTESIAN_POINT('',(-0.68646533127889,1.02428402670775,3.556)); -#12604=CARTESIAN_POINT('',(-0.68646533127889,0.644392912172572,3.556)); -#12605=CARTESIAN_POINT('',(-0.68646533127889,0.644392912172572,3.556)); -#12606=CARTESIAN_POINT('',(-0.68646533127889,1.78406625577812,3.6322)); -#12607=CARTESIAN_POINT('',(-0.68646533127889,1.40417514124294,3.6322)); -#12608=CARTESIAN_POINT('',(-0.68646533127889,1.02428402670775,3.6322)); -#12609=CARTESIAN_POINT('',(-0.68646533127889,0.644392912172572,3.6322)); -#12610=CARTESIAN_POINT('',(-0.68646533127889,0.644392912172572,3.6322)); -#12611=CARTESIAN_POINT('',(-0.68646533127889,0.644392912172572,3.6322)); -#12612=CARTESIAN_POINT('',(-0.68646533127889,0.644392912172572,3.6322)); -#12613=CARTESIAN_POINT('',(-0.68646533127889,0.644392912172572,3.556)); -#12614=CARTESIAN_POINT('',(-0.637935285053928,0.644392912172572,3.556)); -#12615=CARTESIAN_POINT('',(-0.589405238828967,0.644392912172572,3.556)); -#12616=CARTESIAN_POINT('',(-0.540875192604005,0.644392912172572,3.556)); -#12617=CARTESIAN_POINT('',(-0.540875192604005,0.644392912172572,3.556)); -#12618=CARTESIAN_POINT('',(-0.68646533127889,0.644392912172572,3.6322)); -#12619=CARTESIAN_POINT('',(-0.637935285053928,0.644392912172572,3.6322)); -#12620=CARTESIAN_POINT('',(-0.589405238828967,0.644392912172572,3.6322)); -#12621=CARTESIAN_POINT('',(-0.540875192604005,0.644392912172572,3.6322)); -#12622=CARTESIAN_POINT('',(-0.540875192604005,0.644392912172572,3.6322)); -#12623=CARTESIAN_POINT('',(-0.540875192604005,0.644392912172572,3.6322)); -#12624=CARTESIAN_POINT('',(-0.540875192604005,0.644392912172572,3.6322)); -#12625=CARTESIAN_POINT('',(-0.540875192604005,0.644392912172572,3.556)); -#12626=CARTESIAN_POINT('',(-0.540875192604005,1.02428402670775,3.556)); -#12627=CARTESIAN_POINT('',(-0.540875192604005,1.40417514124294,3.556)); -#12628=CARTESIAN_POINT('',(-0.540875192604005,1.78406625577812,3.556)); -#12629=CARTESIAN_POINT('',(-0.540875192604005,1.78406625577812,3.556)); -#12630=CARTESIAN_POINT('',(-0.540875192604005,0.644392912172572,3.6322)); -#12631=CARTESIAN_POINT('',(-0.540875192604005,1.02428402670775,3.6322)); -#12632=CARTESIAN_POINT('',(-0.540875192604005,1.40417514124294,3.6322)); -#12633=CARTESIAN_POINT('',(-0.540875192604005,1.78406625577812,3.6322)); -#12634=CARTESIAN_POINT('',(-0.540875192604005,1.78406625577812,3.6322)); -#12635=CARTESIAN_POINT('',(-0.540875192604005,1.78406625577812,3.6322)); -#12636=CARTESIAN_POINT('',(-0.540875192604005,1.78406625577812,3.6322)); -#12637=CARTESIAN_POINT('',(-0.540875192604005,1.78406625577812,3.556)); -#12638=CARTESIAN_POINT('',(-0.472515665125833,1.78406625577812,3.556)); -#12639=CARTESIAN_POINT('',(-0.404156137647662,1.78406625577812,3.556)); -#12640=CARTESIAN_POINT('',(-0.33579661016949,1.78406625577812,3.556)); -#12641=CARTESIAN_POINT('',(-0.33579661016949,1.78406625577812,3.556)); -#12642=CARTESIAN_POINT('',(-0.540875192604005,1.78406625577812,3.6322)); -#12643=CARTESIAN_POINT('',(-0.472515665125833,1.78406625577812,3.6322)); -#12644=CARTESIAN_POINT('',(-0.404156137647662,1.78406625577812,3.6322)); -#12645=CARTESIAN_POINT('',(-0.33579661016949,1.78406625577812,3.6322)); -#12646=CARTESIAN_POINT('',(-0.33579661016949,1.78406625577812,3.6322)); -#12647=CARTESIAN_POINT('',(-0.33579661016949,1.78406625577812,3.6322)); -#12648=CARTESIAN_POINT('',(-0.33579661016949,1.78406625577812,3.6322)); -#12649=CARTESIAN_POINT('',(-0.33579661016949,1.78406625577812,3.556)); -#12650=CARTESIAN_POINT('',(-0.33579661016949,1.83155264509502,3.556)); -#12651=CARTESIAN_POINT('',(-0.33579661016949,1.87903903441191,3.556)); -#12652=CARTESIAN_POINT('',(-0.33579661016949,1.92652542372881,3.556)); -#12653=CARTESIAN_POINT('',(-0.33579661016949,1.92652542372881,3.556)); -#12654=CARTESIAN_POINT('',(-0.33579661016949,1.78406625577812,3.6322)); -#12655=CARTESIAN_POINT('',(-0.33579661016949,1.83155264509502,3.6322)); -#12656=CARTESIAN_POINT('',(-0.33579661016949,1.87903903441191,3.6322)); -#12657=CARTESIAN_POINT('',(-0.33579661016949,1.92652542372881,3.6322)); -#12658=CARTESIAN_POINT('',(-0.33579661016949,1.92652542372881,3.6322)); -#12659=CARTESIAN_POINT('',(-0.33579661016949,1.92652542372881,3.6322)); -#12660=CARTESIAN_POINT('',(-0.33579661016949,1.92652542372881,3.6322)); -#12661=CARTESIAN_POINT('',(-0.33579661016949,1.92652542372881,3.556)); -#12662=CARTESIAN_POINT('',(-0.404156137647662,1.92652542372881,3.556)); -#12663=CARTESIAN_POINT('',(-0.472515665125833,1.92652542372881,3.556)); -#12664=CARTESIAN_POINT('',(-0.540875192604005,1.92652542372881,3.556)); -#12665=CARTESIAN_POINT('',(-0.540875192604005,1.92652542372881,3.556)); -#12666=CARTESIAN_POINT('',(-0.33579661016949,1.92652542372881,3.6322)); -#12667=CARTESIAN_POINT('',(-0.404156137647662,1.92652542372881,3.6322)); -#12668=CARTESIAN_POINT('',(-0.472515665125833,1.92652542372881,3.6322)); -#12669=CARTESIAN_POINT('',(-0.540875192604005,1.92652542372881,3.6322)); -#12670=CARTESIAN_POINT('',(-0.540875192604005,1.92652542372881,3.6322)); -#12671=CARTESIAN_POINT('',(-0.540875192604005,1.92652542372881,3.6322)); -#12672=CARTESIAN_POINT('',(-0.540875192604005,1.92652542372881,3.6322)); -#12673=CARTESIAN_POINT('',(-0.540875192604005,1.92652542372881,3.556)); -#12674=CARTESIAN_POINT('',(-0.540875192604005,2.01843550231159,3.6322)); -#12675=CARTESIAN_POINT('',(-0.540875192604005,2.01843550231159,3.556)); -#12676=CARTESIAN_POINT('',(-0.542055006832489,2.16068553883207,3.6322)); -#12677=CARTESIAN_POINT('',(-0.542055006832489,2.16068553883207,3.556)); -#12678=CARTESIAN_POINT('',(-0.538908835556531,2.33281257960743,3.6322)); -#12679=CARTESIAN_POINT('',(-0.538908835556531,2.33281257960743,3.556)); -#12680=CARTESIAN_POINT('',(-0.551493520660364,2.56340520233373,3.6322)); -#12681=CARTESIAN_POINT('',(-0.551493520660364,2.56340520233373,3.556)); -#12682=CARTESIAN_POINT('',(-0.708025915418883,2.69376337194664,3.6322)); -#12683=CARTESIAN_POINT('',(-0.708025915418883,2.69376337194664,3.556)); -#12684=CARTESIAN_POINT('',(-0.917045692589811,2.67169645608629,3.6322)); -#12685=CARTESIAN_POINT('',(-0.917045692589811,2.67169645608629,3.556)); -#12686=CARTESIAN_POINT('',(-1.00895531587057,2.67169645608629,3.6322)); -#12687=CARTESIAN_POINT('',(-1.00895531587057,2.67169645608629,3.556)); -#12688=CARTESIAN_POINT('',(-0.540875192604005,1.92652542372881,3.556)); -#12689=CARTESIAN_POINT('',(-0.540875192604005,2.07107190549563,3.556)); -#12690=CARTESIAN_POINT('',(-0.540875192604005,2.21561838726245,3.556)); -#12691=CARTESIAN_POINT('',(-0.540875192604005,2.36016486902927,3.556)); -#12692=CARTESIAN_POINT('',(-0.540875192604005,2.56680893682589,3.556)); -#12693=CARTESIAN_POINT('',(-0.631673343605546,2.67013097072419,3.556)); -#12694=CARTESIAN_POINT('',(-0.813269645608627,2.67169645608629,3.556)); -#12695=CARTESIAN_POINT('',(-0.878493355178484,2.67225872944465,3.556)); -#12696=CARTESIAN_POINT('',(-0.943726759116588,2.67169645608629,3.556)); -#12697=CARTESIAN_POINT('',(-1.00895531587057,2.67169645608629,3.556)); -#12698=CARTESIAN_POINT('',(-1.00895531587057,2.67169645608629,3.556)); -#12699=CARTESIAN_POINT('',(-0.540875192604005,1.92652542372881,3.6322)); -#12700=CARTESIAN_POINT('',(-0.540875192604005,2.07107190549563,3.6322)); -#12701=CARTESIAN_POINT('',(-0.540875192604005,2.21561838726245,3.6322)); -#12702=CARTESIAN_POINT('',(-0.540875192604005,2.36016486902927,3.6322)); -#12703=CARTESIAN_POINT('',(-0.540875192604005,2.56680893682589,3.6322)); -#12704=CARTESIAN_POINT('',(-0.631673343605546,2.67013097072419,3.6322)); -#12705=CARTESIAN_POINT('',(-0.813269645608627,2.67169645608629,3.6322)); -#12706=CARTESIAN_POINT('',(-0.878493355178484,2.67225872944465,3.6322)); -#12707=CARTESIAN_POINT('',(-0.943726759116588,2.67169645608629,3.6322)); -#12708=CARTESIAN_POINT('',(-1.00895531587057,2.67169645608629,3.6322)); -#12709=CARTESIAN_POINT('',(-1.00895531587057,2.67169645608629,3.6322)); -#12710=CARTESIAN_POINT('',(-1.00895531587057,2.67169645608629,3.6322)); -#12711=CARTESIAN_POINT('',(-1.00895531587057,2.67169645608629,3.6322)); -#12712=CARTESIAN_POINT('',(-1.00895531587057,2.67169645608629,3.556)); -#12713=CARTESIAN_POINT('',(-1.00895531587057,2.62264458140729,3.556)); -#12714=CARTESIAN_POINT('',(-1.00895531587057,2.5735927067283,3.556)); -#12715=CARTESIAN_POINT('',(-1.00895531587057,2.52454083204931,3.556)); -#12716=CARTESIAN_POINT('',(-1.00895531587057,2.67169645608629,3.6322)); -#12717=CARTESIAN_POINT('',(-1.00895531587057,2.62264458140729,3.6322)); -#12718=CARTESIAN_POINT('',(-1.00895531587057,2.5735927067283,3.6322)); -#12719=CARTESIAN_POINT('',(-1.00895531587057,2.52454083204931,3.6322)); -#12720=CARTESIAN_POINT('',(-0.68646533127889,2.27619398405294,3.6322)); -#12721=CARTESIAN_POINT('',(-0.68646533127889,2.27619398405294,3.556)); -#12722=CARTESIAN_POINT('',(-3.81273959938367,1.85764406779661,3.6322)); -#12723=CARTESIAN_POINT('',(-3.81273959938367,1.85764406779661,3.556)); -#12724=CARTESIAN_POINT('',(-3.81273959938367,1.814854134566,3.556)); -#12725=CARTESIAN_POINT('',(-3.81273959938367,1.77206420133539,3.556)); -#12726=CARTESIAN_POINT('',(-3.81273959938367,1.72927426810478,3.556)); -#12727=CARTESIAN_POINT('',(-3.81273959938367,1.85764406779661,3.556)); -#12728=CARTESIAN_POINT('',(-3.81273959938367,1.72927426810478,3.556)); -#12729=CARTESIAN_POINT('',(-3.81273959938367,1.85764406779661,3.6322)); -#12730=CARTESIAN_POINT('',(-3.81273959938367,1.85764406779661,3.6322)); -#12731=CARTESIAN_POINT('',(-3.81273959938367,1.85764406779661,3.6322)); -#12732=CARTESIAN_POINT('',(-3.81273959938367,1.814854134566,3.6322)); -#12733=CARTESIAN_POINT('',(-3.81273959938367,1.77206420133539,3.6322)); -#12734=CARTESIAN_POINT('',(-3.81273959938367,1.72927426810478,3.6322)); -#12735=CARTESIAN_POINT('',(-3.81273959938367,1.72927426810478,3.6322)); -#12736=CARTESIAN_POINT('',(-3.81273959938367,1.72927426810478,3.6322)); -#12737=CARTESIAN_POINT('',(-3.81273959938367,1.72927426810478,3.6322)); -#12738=CARTESIAN_POINT('',(-3.81273959938367,1.72927426810478,3.556)); -#12739=CARTESIAN_POINT('',(-3.7673405238829,1.72927426810478,3.556)); -#12740=CARTESIAN_POINT('',(-3.72194144838212,1.72927426810478,3.556)); -#12741=CARTESIAN_POINT('',(-3.67654237288136,1.72927426810478,3.556)); -#12742=CARTESIAN_POINT('',(-3.67654237288136,1.72927426810478,3.556)); -#12743=CARTESIAN_POINT('',(-3.81273959938367,1.72927426810478,3.6322)); -#12744=CARTESIAN_POINT('',(-3.7673405238829,1.72927426810478,3.6322)); -#12745=CARTESIAN_POINT('',(-3.72194144838212,1.72927426810478,3.6322)); -#12746=CARTESIAN_POINT('',(-3.67654237288136,1.72927426810478,3.6322)); -#12747=CARTESIAN_POINT('',(-3.67654237288136,1.72927426810478,3.6322)); -#12748=CARTESIAN_POINT('',(-3.67654237288136,1.72927426810478,3.6322)); -#12749=CARTESIAN_POINT('',(-3.67654237288136,1.72927426810478,3.6322)); -#12750=CARTESIAN_POINT('',(-3.67654237288136,1.72927426810478,3.556)); -#12751=CARTESIAN_POINT('',(-3.67654237288136,1.83738184848881,3.6322)); -#12752=CARTESIAN_POINT('',(-3.67654237288136,1.83738184848881,3.556)); -#12753=CARTESIAN_POINT('',(-3.59860167362249,1.99110508713005,3.6322)); -#12754=CARTESIAN_POINT('',(-3.59860167362249,1.99110508713005,3.556)); -#12755=CARTESIAN_POINT('',(-3.35622452041632,1.98444838212635,3.6322)); -#12756=CARTESIAN_POINT('',(-3.35622452041632,1.98444838212635,3.556)); -#12757=CARTESIAN_POINT('',(-3.26168875192604,1.98444838212635,3.6322)); -#12758=CARTESIAN_POINT('',(-3.26168875192604,1.98444838212635,3.556)); -#12759=CARTESIAN_POINT('',(-3.67654237288136,1.72927426810478,3.556)); -#12760=CARTESIAN_POINT('',(-3.67654237288136,1.89834668721109,3.556)); -#12761=CARTESIAN_POINT('',(-3.57948228043143,1.98444838212635,3.556)); -#12762=CARTESIAN_POINT('',(-3.38536209553159,1.98444838212635,3.556)); -#12763=CARTESIAN_POINT('',(-3.34413764766307,1.98444838212635,3.556)); -#12764=CARTESIAN_POINT('',(-3.30291319979456,1.98444838212635,3.556)); -#12765=CARTESIAN_POINT('',(-3.26168875192604,1.98444838212635,3.556)); -#12766=CARTESIAN_POINT('',(-3.26168875192604,1.98444838212635,3.556)); -#12767=CARTESIAN_POINT('',(-3.67654237288136,1.72927426810478,3.6322)); -#12768=CARTESIAN_POINT('',(-3.67654237288136,1.89834668721109,3.6322)); -#12769=CARTESIAN_POINT('',(-3.57948228043143,1.98444838212635,3.6322)); -#12770=CARTESIAN_POINT('',(-3.38536209553159,1.98444838212635,3.6322)); -#12771=CARTESIAN_POINT('',(-3.34413764766307,1.98444838212635,3.6322)); -#12772=CARTESIAN_POINT('',(-3.30291319979456,1.98444838212635,3.6322)); -#12773=CARTESIAN_POINT('',(-3.26168875192604,1.98444838212635,3.6322)); -#12774=CARTESIAN_POINT('',(-3.26168875192604,1.98444838212635,3.6322)); -#12775=CARTESIAN_POINT('',(-3.26168875192604,1.98444838212635,3.6322)); -#12776=CARTESIAN_POINT('',(-3.26168875192604,1.98444838212635,3.6322)); -#12777=CARTESIAN_POINT('',(-3.26168875192604,1.98444838212635,3.556)); -#12778=CARTESIAN_POINT('',(-3.26168875192604,1.53776322547509,3.556)); -#12779=CARTESIAN_POINT('',(-3.26168875192604,1.09107806882383,3.556)); -#12780=CARTESIAN_POINT('',(-3.26168875192604,0.644392912172572,3.556)); -#12781=CARTESIAN_POINT('',(-3.26168875192604,0.644392912172572,3.556)); -#12782=CARTESIAN_POINT('',(-3.26168875192604,1.98444838212635,3.6322)); -#12783=CARTESIAN_POINT('',(-3.26168875192604,1.53776322547509,3.6322)); -#12784=CARTESIAN_POINT('',(-3.26168875192604,1.09107806882383,3.6322)); -#12785=CARTESIAN_POINT('',(-3.26168875192604,0.644392912172572,3.6322)); -#12786=CARTESIAN_POINT('',(-3.26168875192604,0.644392912172572,3.6322)); -#12787=CARTESIAN_POINT('',(-3.26168875192604,0.644392912172572,3.6322)); -#12788=CARTESIAN_POINT('',(-3.26168875192604,0.644392912172572,3.6322)); -#12789=CARTESIAN_POINT('',(-3.26168875192604,0.644392912172572,3.556)); -#12790=CARTESIAN_POINT('',(-3.21263687724705,0.644392912172572,3.556)); -#12791=CARTESIAN_POINT('',(-3.16358500256805,0.644392912172572,3.556)); -#12792=CARTESIAN_POINT('',(-3.11453312788906,0.644392912172572,3.556)); -#12793=CARTESIAN_POINT('',(-3.11453312788906,0.644392912172572,3.556)); -#12794=CARTESIAN_POINT('',(-3.26168875192604,0.644392912172572,3.6322)); -#12795=CARTESIAN_POINT('',(-3.21263687724705,0.644392912172572,3.6322)); -#12796=CARTESIAN_POINT('',(-3.16358500256805,0.644392912172572,3.6322)); -#12797=CARTESIAN_POINT('',(-3.11453312788906,0.644392912172572,3.6322)); -#12798=CARTESIAN_POINT('',(-3.11453312788906,0.644392912172572,3.6322)); -#12799=CARTESIAN_POINT('',(-3.11453312788906,0.644392912172572,3.6322)); -#12800=CARTESIAN_POINT('',(-3.11453312788906,0.644392912172572,3.6322)); -#12801=CARTESIAN_POINT('',(-3.11453312788906,0.644392912172572,3.556)); -#12802=CARTESIAN_POINT('',(-3.11453312788906,1.1364771443246,3.556)); -#12803=CARTESIAN_POINT('',(-3.11453312788906,1.62856137647663,3.556)); -#12804=CARTESIAN_POINT('',(-3.11453312788906,2.12064560862866,3.556)); -#12805=CARTESIAN_POINT('',(-3.11453312788906,2.12064560862866,3.556)); -#12806=CARTESIAN_POINT('',(-3.11453312788906,0.644392912172572,3.6322)); -#12807=CARTESIAN_POINT('',(-3.11453312788906,1.1364771443246,3.6322)); -#12808=CARTESIAN_POINT('',(-3.11453312788906,1.62856137647663,3.6322)); -#12809=CARTESIAN_POINT('',(-3.11453312788906,2.12064560862866,3.6322)); -#12810=CARTESIAN_POINT('',(-3.11453312788906,2.12064560862866,3.6322)); -#12811=CARTESIAN_POINT('',(-3.11453312788906,2.12064560862866,3.6322)); -#12812=CARTESIAN_POINT('',(-3.11453312788906,2.12064560862866,3.6322)); -#12813=CARTESIAN_POINT('',(-3.11453312788906,2.12064560862866,3.556)); -#12814=CARTESIAN_POINT('',(-3.18277306280186,2.12064560862866,3.6322)); -#12815=CARTESIAN_POINT('',(-3.18277306280186,2.12064560862866,3.556)); -#12816=CARTESIAN_POINT('',(-3.30579548731144,2.12467972631895,3.6322)); -#12817=CARTESIAN_POINT('',(-3.30579548731144,2.12467972631895,3.556)); -#12818=CARTESIAN_POINT('',(-3.57107379597199,2.10652619671263,3.6322)); -#12819=CARTESIAN_POINT('',(-3.57107379597199,2.10652619671263,3.556)); -#12820=CARTESIAN_POINT('',(-3.71477987637149,2.05031222332787,3.6322)); -#12821=CARTESIAN_POINT('',(-3.71477987637149,2.05031222332787,3.556)); -#12822=CARTESIAN_POINT('',(-3.79604976372725,1.93502421493092,3.6322)); -#12823=CARTESIAN_POINT('',(-3.79604976372725,1.93502421493092,3.556)); -#12824=CARTESIAN_POINT('',(-3.81273959938367,1.85764406779661,3.6322)); -#12825=CARTESIAN_POINT('',(-3.81273959938367,1.85764406779661,3.556)); -#12826=CARTESIAN_POINT('',(-3.11453312788906,2.12064560862866,3.556)); -#12827=CARTESIAN_POINT('',(-3.18758911145352,2.12064560862866,3.556)); -#12828=CARTESIAN_POINT('',(-3.26064509501798,2.12064560862866,3.556)); -#12829=CARTESIAN_POINT('',(-3.33370107858243,2.12064560862866,3.556)); -#12830=CARTESIAN_POINT('',(-3.50433898305085,2.12064560862866,3.556)); -#12831=CARTESIAN_POINT('',(-3.62801232665639,2.09246687211094,3.556)); -#12832=CARTESIAN_POINT('',(-3.70628659476117,2.03454391371341,3.556)); -#12833=CARTESIAN_POINT('',(-3.75939332874283,1.99524493056698,3.556)); -#12834=CARTESIAN_POINT('',(-3.79551926040061,1.93748382126348,3.556)); -#12835=CARTESIAN_POINT('',(-3.81273959938367,1.85764406779661,3.556)); -#12836=CARTESIAN_POINT('',(-3.11453312788906,2.12064560862866,3.6322)); -#12837=CARTESIAN_POINT('',(-3.18758911145352,2.12064560862866,3.6322)); -#12838=CARTESIAN_POINT('',(-3.26064509501798,2.12064560862866,3.6322)); -#12839=CARTESIAN_POINT('',(-3.33370107858243,2.12064560862866,3.6322)); -#12840=CARTESIAN_POINT('',(-3.50433898305085,2.12064560862866,3.6322)); -#12841=CARTESIAN_POINT('',(-3.62801232665639,2.09246687211094,3.6322)); -#12842=CARTESIAN_POINT('',(-3.70628659476117,2.03454391371341,3.6322)); -#12843=CARTESIAN_POINT('',(-3.75939332874283,1.99524493056698,3.6322)); -#12844=CARTESIAN_POINT('',(-3.79551926040061,1.93748382126348,3.6322)); -#12845=CARTESIAN_POINT('',(-3.81273959938367,1.85764406779661,3.6322)); -#12846=CARTESIAN_POINT('',(-3.55749256013566,1.95101010121881,3.6322)); -#12847=CARTESIAN_POINT('',(-3.55749256013566,1.95101010121881,3.556)); -#12848=CARTESIAN_POINT('',(-3.7338,3.87350000000066,10.4394)); -#12849=CARTESIAN_POINT('',(-2.85039314326216,4.44500000000065,10.4394)); -#12850=CARTESIAN_POINT('',(-2.85039314326216,5.50913508101188,10.4394)); -#12851=CARTESIAN_POINT('',(-2.85039314326216,4.7569068567385,10.4394)); -#12852=CARTESIAN_POINT('',(-1.96487446658543,4.7569068567385,10.4394)); -#12853=CARTESIAN_POINT('',(-2.09816491898878,4.7569068567385,10.4394)); -#12854=CARTESIAN_POINT('',(-3.7338,3.87350000000066,10.4394)); -#12855=CARTESIAN_POINT('',(-3.7338,3.87350000000066,9.37735395122258)); -#12856=CARTESIAN_POINT('',(-3.7338,3.87350000000066,10.4394)); -#12857=CARTESIAN_POINT('',(-5.36943508101123,2.9900931432628,10.4394)); -#12858=CARTESIAN_POINT('',(-4.61720685673785,2.23786491898943,10.4394)); -#12859=CARTESIAN_POINT('',(-6.28794950873834,3.356162057275,10.1322317092669)); -#12860=CARTESIAN_POINT('',(-6.02874727870787,3.31331541171922,10.375227111239)); -#12861=CARTESIAN_POINT('',(-5.69605856794738,3.12298891960893,10.4394)); -#12862=CARTESIAN_POINT('',(-5.36943508101123,2.9900931432628,10.4394)); -#12863=CARTESIAN_POINT('',(-6.28794950873836,3.35616205727499,10.1322317092669)); -#12864=CARTESIAN_POINT('',(-6.28794950873836,3.35616205727499,10.1322317092669)); -#12865=CARTESIAN_POINT('',(-6.49467555881488,3.39033428563018,9.93843137186033)); -#12866=CARTESIAN_POINT('',(-6.62274781705675,3.43899665999737,9.66245333281223)); -#12867=CARTESIAN_POINT('',(-6.62941846390166,3.48926737315484,9.37735395122309)); -#12868=CARTESIAN_POINT('',(-6.62941846389804,3.48926737315493,9.37735395122258)); -#12869=CARTESIAN_POINT('',(-3.7338,3.87350000000066,9.37735395122258)); -#12870=CARTESIAN_POINT('',(-0.838181536101967,3.48926737315493,9.37735395122258)); -#12871=CARTESIAN_POINT('',(-0.838181536101967,3.48926737315493,9.37735395122258)); -#12872=CARTESIAN_POINT('',(-0.844856238047205,3.43896610032364,9.66262664533428)); -#12873=CARTESIAN_POINT('',(-0.972465057889332,3.39041022260513,9.93800071187487)); -#12874=CARTESIAN_POINT('',(-1.17965049126161,3.35616205727499,10.1322317092669)); -#12875=CARTESIAN_POINT('',(-1.17965049126161,3.35616205727499,10.1322317092669)); -#12876=CARTESIAN_POINT('',(-1.17965049126162,3.356162057275,10.1322317092669)); -#12877=CARTESIAN_POINT('',(-1.4388527212921,3.31331541171921,10.375227111239)); -#12878=CARTESIAN_POINT('',(-1.77154143205253,3.12298891960872,10.4394)); -#12879=CARTESIAN_POINT('',(-2.09816491898878,2.9900931432628,10.4394)); -#12880=CARTESIAN_POINT('',(-2.09816491898878,2.9900931432628,10.4394)); -#12881=CARTESIAN_POINT('',(-3.7338,3.87350000000066,10.4394)); -#12882=CARTESIAN_POINT('',(-2.85039314326216,2.23786491898943,10.4394)); -#12883=CARTESIAN_POINT('',(-2.85039314326216,2.23786491898943,10.4394)); -#12884=CARTESIAN_POINT('',(-2.80865868201296,1.97522716574339,10.4394)); -#12885=CARTESIAN_POINT('',(-2.78958291377541,1.71688424334738,10.3512982504976)); -#12886=CARTESIAN_POINT('',(-2.7901718828656,1.50801894157328,10.1865996793024)); -#12887=CARTESIAN_POINT('',(-2.7901718828656,1.50801894157328,10.1865996793024)); -#12888=CARTESIAN_POINT('',(-2.79017188286561,1.50801894157328,10.1865996793024)); -#12889=CARTESIAN_POINT('',(-3.09203957191726,1.52819227438178,10.2975878149612)); -#12890=CARTESIAN_POINT('',(-3.73644113504453,1.57833486551578,10.3702927944997)); -#12891=CARTESIAN_POINT('',(-4.37492118333169,1.52823499408209,10.2978228470172)); -#12892=CARTESIAN_POINT('',(-4.67742811713485,1.50801894157354,10.1865996793038)); -#12893=CARTESIAN_POINT('',(-4.67742811713439,1.50801894157328,10.1865996793024)); -#12894=CARTESIAN_POINT('',(-4.6774281171344,1.50801894157329,10.1865996793024)); -#12895=CARTESIAN_POINT('',(-4.67801708622459,1.71688424334739,10.3512982504976)); -#12896=CARTESIAN_POINT('',(-4.65894131798704,1.97522716574339,10.4394)); -#12897=CARTESIAN_POINT('',(-4.61720685673785,2.23786491898943,10.4394)); -#12898=CARTESIAN_POINT('',(-3.7338,3.87350000000066,9.37735395122258)); -#12899=CARTESIAN_POINT('',(-6.62941846389804,4.25773262684638,9.37735395122258)); -#12900=CARTESIAN_POINT('',(-6.62274376195281,4.30803389967766,9.66262664533428)); -#12901=CARTESIAN_POINT('',(-6.49513494211068,4.35658977739618,9.93800071187487)); -#12902=CARTESIAN_POINT('',(-6.2879495087384,4.39083794272631,10.1322317092669)); -#12903=CARTESIAN_POINT('',(-6.62941846389804,4.25773262684638,9.37735395122258)); -#12904=CARTESIAN_POINT('',(-6.2879495087384,4.39083794272631,10.1322317092669)); -#12905=CARTESIAN_POINT('',(-6.28794950873839,4.39083794272631,10.1322317092669)); -#12906=CARTESIAN_POINT('',(-6.02874727870791,4.43368458828209,10.375227111239)); -#12907=CARTESIAN_POINT('',(-5.6960585679474,4.62401108039239,10.4394)); -#12908=CARTESIAN_POINT('',(-5.36943508101123,4.7569068567385,10.4394)); -#12909=CARTESIAN_POINT('',(-5.36943508101123,4.7569068567385,10.4394)); -#12910=CARTESIAN_POINT('',(-3.7338,3.87350000000066,10.4394)); -#12911=CARTESIAN_POINT('',(-4.61720685673785,5.50913508101189,10.4394)); -#12912=CARTESIAN_POINT('',(-4.61720685673785,5.50913508101189,10.4394)); -#12913=CARTESIAN_POINT('',(-4.65894131798704,5.77177283425792,10.4394)); -#12914=CARTESIAN_POINT('',(-4.67801708622459,6.03011575665391,10.3512982504976)); -#12915=CARTESIAN_POINT('',(-4.6774281171344,6.23898105842801,10.1865996793024)); -#12916=CARTESIAN_POINT('',(-4.67742811713439,6.23898105842802,10.1865996793024)); -#12917=CARTESIAN_POINT('',(-4.67742811713439,6.23898105842802,10.1865996793024)); -#12918=CARTESIAN_POINT('',(-4.37556042808274,6.21880772561951,10.2975878149613)); -#12919=CARTESIAN_POINT('',(-3.73115886495548,6.16866513448552,10.3702927944998)); -#12920=CARTESIAN_POINT('',(-3.09267881666831,6.21876500591921,10.2978228470172)); -#12921=CARTESIAN_POINT('',(-2.79017188286515,6.23898105842776,10.1865996793038)); -#12922=CARTESIAN_POINT('',(-2.7901718828656,6.23898105842802,10.1865996793024)); -#12923=CARTESIAN_POINT('',(-2.7901718828656,6.23898105842802,10.1865996793024)); -#12924=CARTESIAN_POINT('',(-2.78958291377541,6.03011575665392,10.3512982504976)); -#12925=CARTESIAN_POINT('',(-2.80865868201296,5.77177283425791,10.4394)); -#12926=CARTESIAN_POINT('',(-2.85039314326216,5.50913508101188,10.4394)); -#12927=CARTESIAN_POINT('',(-1.17965049126167,4.39083794272631,10.1322317092669)); -#12928=CARTESIAN_POINT('',(-1.43885272129213,4.43368458828208,10.375227111239)); -#12929=CARTESIAN_POINT('',(-1.77154143205253,4.6240110803926,10.4394)); -#12930=CARTESIAN_POINT('',(-2.09816491898878,4.7569068567385,10.4394)); -#12931=CARTESIAN_POINT('',(-1.17965049126164,4.39083794272631,10.1322317092669)); -#12932=CARTESIAN_POINT('',(-1.17965049126164,4.39083794272631,10.1322317092669)); -#12933=CARTESIAN_POINT('',(-0.972924441185126,4.35666571437112,9.93843137186033)); -#12934=CARTESIAN_POINT('',(-0.84485218294326,4.30800334000393,9.66245333281223)); -#12935=CARTESIAN_POINT('',(-0.838181536098346,4.25773262684647,9.37735395122308)); -#12936=CARTESIAN_POINT('',(-0.838181536101966,4.25773262684638,9.37735395122258)); -#12937=CARTESIAN_POINT('',(-3.7338,3.87350000000066,9.37735395122258)); -#12938=CARTESIAN_POINT('',(-3.7338,3.87350000000066,10.4394)); -#12939=CARTESIAN_POINT('',(-3.1623,2.9900931432628,10.4394)); -#12940=CARTESIAN_POINT('',(-2.85039314326216,2.9900931432628,10.4394)); -#12941=CARTESIAN_POINT('',(-2.85039314326216,2.10457446658608,10.4394)); -#12942=CARTESIAN_POINT('',(-11.2014,3.30200000000065,10.4394)); -#12943=CARTESIAN_POINT('',(-3.39761297180761,3.53731297180825,9.10487382106732)); -#12944=CARTESIAN_POINT('',(-3.34956737315428,3.48926737315493,9.37735395122258)); -#12945=CARTESIAN_POINT('',(-3.21646205727435,3.356162057275,10.1322317092669)); -#12946=CARTESIAN_POINT('',(-1.96487446658543,3.356162057275,10.1322317092669)); -#12947=CARTESIAN_POINT('',(-11.2014,3.48926737315493,9.37735395122258)); -#12948=CARTESIAN_POINT('',(-11.2014,3.30200000000065,10.4394)); -#12949=CARTESIAN_POINT('',(-4.3053,3.356162057275,10.1322317092669)); -#12950=CARTESIAN_POINT('',(-4.25113794272565,3.356162057275,10.1322317092669)); -#12951=CARTESIAN_POINT('',(-4.50715615117145,3.1001438488292,11.5841831203167)); -#12952=CARTESIAN_POINT('',(-4.11803262684572,3.48926737315493,9.37735395122258)); -#12953=CARTESIAN_POINT('',(-11.2014,3.48926737315493,9.37735395122258)); -#12954=CARTESIAN_POINT('',(-11.2014,4.44500000000065,10.4394)); -#12955=CARTESIAN_POINT('',(-3.1623,4.39083794272631,10.1322317092669)); -#12956=CARTESIAN_POINT('',(-3.21646205727435,4.39083794272631,10.1322317092669)); -#12957=CARTESIAN_POINT('',(-3.39761297180761,4.20968702819305,9.10487382106732)); -#12958=CARTESIAN_POINT('',(-3.34956737315428,4.25773262684638,9.37735395122258)); -#12959=CARTESIAN_POINT('',(-11.2014,4.25773262684638,9.37735395122258)); -#12960=CARTESIAN_POINT('',(-11.2014,4.44500000000065,10.4394)); -#12961=CARTESIAN_POINT('',(-4.50715615117145,4.64685615117211,11.5841831203167)); -#12962=CARTESIAN_POINT('',(-4.11803262684572,4.25773262684638,9.37735395122258)); -#12963=CARTESIAN_POINT('',(-4.25113794272565,4.39083794272631,10.1322317092669)); -#12964=CARTESIAN_POINT('',(-5.50272553341458,4.39083794272631,10.1322317092669)); -#12965=CARTESIAN_POINT('',(-11.2014,4.25773262684638,9.37735395122258)); -#12966=CARTESIAN_POINT('',(-3.7338,3.87350000000066,10.4394)); -#12967=CARTESIAN_POINT('',(-4.3053,4.7569068567385,10.4394)); -#12968=CARTESIAN_POINT('',(-4.61720685673785,4.7569068567385,10.4394)); -#12969=CARTESIAN_POINT('',(-4.61720685673785,5.64242553341523,10.4394)); -#12970=CARTESIAN_POINT('',(-3.7338,3.87350000000066,10.4394)); -#12971=CARTESIAN_POINT('',(-3.7338,3.87350000000066,9.37735395122258)); -#12972=CARTESIAN_POINT('',(-3.7338,3.87350000000066,9.37735395122258)); -#12973=CARTESIAN_POINT('',(-3.7338,3.87350000000066,8.4000595934953)); -#12974=CARTESIAN_POINT('',(-6.6548,3.87350000000066,8.4000595934953)); -#12975=CARTESIAN_POINT('',(-3.7338,3.87350000000066,10.4394)); -#12976=CARTESIAN_POINT('',(-4.61720685673785,3.30200000000065,10.4394)); -#12977=CARTESIAN_POINT('',(-4.61720685673785,2.9900931432628,10.4394)); -#12978=CARTESIAN_POINT('',(-5.50272553341458,2.9900931432628,10.4394)); -#12979=CARTESIAN_POINT('',(-3.7338,3.87350000000066,8.1788)); -#12980=CARTESIAN_POINT('',(-3.7338,3.87350000000066,8.1788)); -#12981=CARTESIAN_POINT('',(-6.43354040650471,3.87350000000066,8.1788)); -#12982=CARTESIAN_POINT('',(-3.7338,3.87350000000066,8.4000595934953)); -#12983=CARTESIAN_POINT('',(0.,0.,9.37735395122258)); -#12984=CARTESIAN_POINT('',(-4.11803262684572,3.87350000000065,9.37735395122258)); -#12985=CARTESIAN_POINT('',(-4.11803262684572,2.20181342193235,9.37735395122258)); -#12986=CARTESIAN_POINT('',(-11.2014,2.20181342193235,9.37735395122258)); -#12987=CARTESIAN_POINT('',(-3.34956737315428,2.20181342193235,9.37735395122258)); -#12988=CARTESIAN_POINT('',(-3.34956737315428,3.87350000000065,9.37735395122258)); -#12989=CARTESIAN_POINT('',(-3.34956737315428,3.87350000000065,9.37735395122258)); -#12990=CARTESIAN_POINT('',(-3.34956737315428,5.54518657806895,9.37735395122258)); -#12991=CARTESIAN_POINT('',(-11.2014,5.54518657806895,9.37735395122258)); -#12992=CARTESIAN_POINT('',(-4.11803262684572,5.54518657806895,9.37735395122258)); -#12993=CARTESIAN_POINT('',(-4.11803262684572,3.87350000000065,9.37735395122258)); -#12994=CARTESIAN_POINT('',(-3.1623,3.87350000000065,10.4394)); -#12995=CARTESIAN_POINT('',(-3.21646205727435,5.64242553341523,10.1322317092669)); -#12996=CARTESIAN_POINT('',(-3.21646205727435,5.50913508101188,10.1322317092669)); -#12997=CARTESIAN_POINT('',(-3.21646205727435,5.50913508101188,10.1322317092669)); -#12998=CARTESIAN_POINT('',(-3.21646205727435,5.56823708491047,10.1322317092669)); -#12999=CARTESIAN_POINT('',(-3.21748799388854,5.62683153673192,10.1264133335988)); -#13000=CARTESIAN_POINT('',(-3.2195642652918,5.68471606449503,10.1146382133369)); -#13001=CARTESIAN_POINT('',(-3.2195642652918,5.68471606449503,10.1146382133369)); -#13002=CARTESIAN_POINT('',(-3.2195642652918,5.68471606449503,10.1146382133369)); -#13003=CARTESIAN_POINT('',(-3.22405517391987,5.67387295527461,10.089169004881)); -#13004=CARTESIAN_POINT('',(-3.22869963578944,5.66605431543371,10.0628289527181)); -#13005=CARTESIAN_POINT('',(-3.23349746513773,5.6612564860855,10.0356191103615)); -#13006=CARTESIAN_POINT('',(-3.23349746513773,5.6612564860855,10.0356191103615)); -#13007=CARTESIAN_POINT('',(-3.21671355110842,5.67804040011481,10.130805416858)); -#13008=CARTESIAN_POINT('',(-4.3053,3.87350000000065,10.4394)); -#13009=CARTESIAN_POINT('',(-4.2480357347082,5.68471606449503,10.1146382133369)); -#13010=CARTESIAN_POINT('',(-4.25011200611146,5.62683153673192,10.1264133335988)); -#13011=CARTESIAN_POINT('',(-4.25113794272565,5.56823708491048,10.1322317092669)); -#13012=CARTESIAN_POINT('',(-4.25113794272565,5.50913508101189,10.1322317092669)); -#13013=CARTESIAN_POINT('',(-4.2480357347082,5.68471606449503,10.1146382133369)); -#13014=CARTESIAN_POINT('',(-4.25113794272565,5.50913508101189,10.1322317092669)); -#13015=CARTESIAN_POINT('',(-4.25113794272565,4.44500000000065,10.1322317092669)); -#13016=CARTESIAN_POINT('',(-4.50715615117145,5.93431010239468,11.5841831203167)); -#13017=CARTESIAN_POINT('',(-4.23410253486227,5.6612564860855,10.0356191103615)); -#13018=CARTESIAN_POINT('',(-4.23410253486227,5.6612564860855,10.0356191103615)); -#13019=CARTESIAN_POINT('',(-4.23890036421056,5.66605431543371,10.0628289527181)); -#13020=CARTESIAN_POINT('',(-4.24354482608013,5.67387295527487,10.0891690048809)); -#13021=CARTESIAN_POINT('',(-4.24803573470819,5.6847160644952,10.1146382133368)); -#13022=CARTESIAN_POINT('',(-11.2014,5.73245395122323,10.4394)); -#13023=CARTESIAN_POINT('',(-3.23349746513773,5.6612564860855,10.0356191103615)); -#13024=CARTESIAN_POINT('',(-3.56577330177664,5.66839351529014,10.0760952143359)); -#13025=CARTESIAN_POINT('',(-3.90182669822336,5.66839351529014,10.0760952143359)); -#13026=CARTESIAN_POINT('',(-4.23410253486227,5.6612564860855,10.0356191103615)); -#13027=CARTESIAN_POINT('',(-3.1623,3.87350000000065,10.4394)); -#13028=CARTESIAN_POINT('',(-3.23349746513773,2.0857435139158,10.0356191103615)); -#13029=CARTESIAN_POINT('',(-3.22869963578945,2.08094568456753,10.0628289527181)); -#13030=CARTESIAN_POINT('',(-3.22405517391987,2.07312704472646,10.0891690048809)); -#13031=CARTESIAN_POINT('',(-3.21956426529181,2.0622839355061,10.1146382133368)); -#13032=CARTESIAN_POINT('',(-3.23349746513773,2.0857435139158,10.0356191103615)); -#13033=CARTESIAN_POINT('',(-3.2195642652918,2.06228393550627,10.1146382133369)); -#13034=CARTESIAN_POINT('',(-3.2195642652918,2.06228393550627,10.1146382133369)); -#13035=CARTESIAN_POINT('',(-3.21748799388854,2.12016846326938,10.1264133335988)); -#13036=CARTESIAN_POINT('',(-3.21646205727435,2.17876291509083,10.1322317092669)); -#13037=CARTESIAN_POINT('',(-3.21646205727435,2.23786491898943,10.1322317092669)); -#13038=CARTESIAN_POINT('',(-3.21646205727435,2.23786491898943,10.1322317092669)); -#13039=CARTESIAN_POINT('',(-3.21646205727435,3.30200000000065,10.1322317092669)); -#13040=CARTESIAN_POINT('',(-3.39761297180761,2.24985902058568,9.10487382106732)); -#13041=CARTESIAN_POINT('',(-4.3053,3.87350000000065,10.4394)); -#13042=CARTESIAN_POINT('',(-4.25113794272565,2.10457446658608,10.1322317092669)); -#13043=CARTESIAN_POINT('',(-4.25113794272565,2.23786491898943,10.1322317092669)); -#13044=CARTESIAN_POINT('',(-4.25113794272565,2.23786491898943,10.1322317092669)); -#13045=CARTESIAN_POINT('',(-4.25113794272565,2.17876291509083,10.1322317092669)); -#13046=CARTESIAN_POINT('',(-4.25011200611146,2.12016846326938,10.1264133335988)); -#13047=CARTESIAN_POINT('',(-4.2480357347082,2.06228393550627,10.1146382133369)); -#13048=CARTESIAN_POINT('',(-4.2480357347082,2.06228393550627,10.1146382133369)); -#13049=CARTESIAN_POINT('',(-4.2480357347082,2.06228393550627,10.1146382133369)); -#13050=CARTESIAN_POINT('',(-4.24354482608013,2.07312704472669,10.089169004881)); -#13051=CARTESIAN_POINT('',(-4.23890036421056,2.0809456845676,10.0628289527181)); -#13052=CARTESIAN_POINT('',(-4.23410253486227,2.0857435139158,10.0356191103615)); -#13053=CARTESIAN_POINT('',(-4.23410253486227,2.0857435139158,10.0356191103615)); -#13054=CARTESIAN_POINT('',(-4.25088644889158,2.06895959988649,10.130805416858)); -#13055=CARTESIAN_POINT('',(-11.2014,2.01454604877807,10.4394)); -#13056=CARTESIAN_POINT('',(-4.23410253486227,2.0857435139158,10.0356191103615)); -#13057=CARTESIAN_POINT('',(-3.90182669822336,2.07860648471116,10.0760952143359)); -#13058=CARTESIAN_POINT('',(-3.56577330177664,2.07860648471116,10.0760952143359)); -#13059=CARTESIAN_POINT('',(-3.23349746513773,2.0857435139158,10.0356191103615)); -#13060=CARTESIAN_POINT('',(-3.7338,2.9900931432628,10.0676838829279)); -#13061=CARTESIAN_POINT('',(-2.85039314326216,2.9900931432628,10.0676838829279)); -#13062=CARTESIAN_POINT('',(-2.85039314326216,3.87350000000066,10.0676838829279)); -#13063=CARTESIAN_POINT('',(-2.85039314326216,2.23786491898943,10.0676838829279)); -#13064=CARTESIAN_POINT('',(-3.21646205727435,2.23786491898943,10.1322317092669)); -#13065=CARTESIAN_POINT('',(-3.1623,2.23786491898943,10.4394)); -#13066=CARTESIAN_POINT('',(-2.85039314326216,2.23786491898943,10.4394)); -#13067=CARTESIAN_POINT('',(-3.21646206302916,2.05412238865072,10.1322316766297)); -#13068=CARTESIAN_POINT('',(-3.15587944545941,1.97666405853313,10.4758127742389)); -#13069=CARTESIAN_POINT('',(-2.80902423245433,1.97752745491785,10.4394)); -#13070=CARTESIAN_POINT('',(-3.22647712321868,1.87412902082124,10.0754334478545)); -#13071=CARTESIAN_POINT('',(-3.16476913583881,1.70895505604068,10.4253968348077)); -#13072=CARTESIAN_POINT('',(-2.78934323359378,1.71598737933752,10.3520181339406)); -#13073=CARTESIAN_POINT('',(-3.2457804885746,1.72901340118433,9.96595862285409)); -#13074=CARTESIAN_POINT('',(-3.18882323909981,1.47775087231219,10.2889792362959)); -#13075=CARTESIAN_POINT('',(-2.79018476687562,1.5042251549079,10.1835952516726)); -#13076=CARTESIAN_POINT('',(-3.2195642652918,2.06228393550627,10.1146382133369)); -#13077=CARTESIAN_POINT('',(-3.19781181168924,2.00976355494248,10.2380025079851)); -#13078=CARTESIAN_POINT('',(-3.03667697163692,1.78603657604607,10.3690527905715)); -#13079=CARTESIAN_POINT('',(-2.86445419000243,1.58250522630381,10.2687938465803)); -#13080=CARTESIAN_POINT('',(-2.7901718828656,1.50801894157328,10.1865996793024)); -#13081=CARTESIAN_POINT('',(-2.86945273300188,2.09817063985798,9.96514137693556)); -#13082=CARTESIAN_POINT('',(-2.70010487767577,1.9956155923674,10.5467599532789)); -#13083=CARTESIAN_POINT('',(-2.77869616387961,1.50725464423053,10.1823379502595)); -#13084=CARTESIAN_POINT('',(-3.14792184458621,2.08430500659679,10.0437772907672)); -#13085=CARTESIAN_POINT('',(-3.05869364397446,1.99224652657916,10.5658668748333)); -#13086=CARTESIAN_POINT('',(-3.10122903447895,1.52866037053782,10.3033163686258)); -#13087=CARTESIAN_POINT('',(-3.73484547325403,2.0773176937582,10.0834043110367)); -#13088=CARTESIAN_POINT('',(-3.73470519369976,1.99850152969835,10.5303929893611)); -#13089=CARTESIAN_POINT('',(-3.73500051076746,1.57781931144592,10.3642808373557)); -#13090=CARTESIAN_POINT('',(-4.3178715388891,2.08421302126816,10.0442989654892)); -#13091=CARTESIAN_POINT('',(-4.40680707524369,1.99222538626554,10.5659867675093)); -#13092=CARTESIAN_POINT('',(-4.36427553767864,1.52879837523567,10.3041189432199)); -#13093=CARTESIAN_POINT('',(-4.59821497162407,2.09817401127932,9.96512225665501)); -#13094=CARTESIAN_POINT('',(-4.76759151891786,1.99561649824145,10.5467548158119)); -#13095=CARTESIAN_POINT('',(-4.68898225439696,1.50724943992044,10.1823085344432)); -#13096=CARTESIAN_POINT('',(-4.2480357347082,2.06228393550627,10.1146382133369)); -#13097=CARTESIAN_POINT('',(-4.26978818831069,2.0097635549423,10.2380025079847)); -#13098=CARTESIAN_POINT('',(-4.43092302837803,1.78603657605804,10.3690527905752)); -#13099=CARTESIAN_POINT('',(-4.60314580996593,1.58250522628238,10.2687938465732)); -#13100=CARTESIAN_POINT('',(-4.67742811713466,1.50801894157492,10.1865996793037)); -#13101=CARTESIAN_POINT('',(-4.61720685673785,2.23786491898943,10.4394)); -#13102=CARTESIAN_POINT('',(-4.3053,2.23786491898943,10.4394)); -#13103=CARTESIAN_POINT('',(-4.25113794272565,2.23786491898943,10.1322317092669)); -#13104=CARTESIAN_POINT('',(-4.65857576754587,1.97752745491777,10.4394)); -#13105=CARTESIAN_POINT('',(-4.31172055454056,1.976664058533,10.4758127742385)); -#13106=CARTESIAN_POINT('',(-4.25113793697084,2.05412238865071,10.1322316766298)); -#13107=CARTESIAN_POINT('',(-4.67825676640622,1.71598737933744,10.3520181339405)); -#13108=CARTESIAN_POINT('',(-4.30283086416111,1.70895505604069,10.4253968348079)); -#13109=CARTESIAN_POINT('',(-4.24112287678131,1.87412902082118,10.0754334478545)); -#13110=CARTESIAN_POINT('',(-4.67741523312438,1.5042251549079,10.1835952516726)); -#13111=CARTESIAN_POINT('',(-4.27877676090019,1.47775087231219,10.2889792362959)); -#13112=CARTESIAN_POINT('',(-4.2218195114254,1.72901340118433,9.96595862285409)); -#13113=CARTESIAN_POINT('',(-4.61720685673785,2.23786491898943,10.0676838829279)); -#13114=CARTESIAN_POINT('',(-4.61720685673785,3.87350000000066,10.0676838829279)); -#13115=CARTESIAN_POINT('',(-4.61720685673785,2.9900931432628,10.0676838829279)); -#13116=CARTESIAN_POINT('',(-3.7338,2.9900931432628,10.0676838829279)); -#13117=CARTESIAN_POINT('',(-3.7338,4.7569068567385,10.0676838829279)); -#13118=CARTESIAN_POINT('',(-2.85039314326216,4.7569068567385,10.0676838829279)); -#13119=CARTESIAN_POINT('',(-2.85039314326216,3.87350000000066,10.0676838829279)); -#13120=CARTESIAN_POINT('',(-2.85039314326216,5.50913508101188,10.0676838829279)); -#13121=CARTESIAN_POINT('',(-2.85039314326216,5.50913508101188,10.4394)); -#13122=CARTESIAN_POINT('',(-3.1623,5.50913508101188,10.4394)); -#13123=CARTESIAN_POINT('',(-3.21646205727435,5.50913508101188,10.1322317092669)); -#13124=CARTESIAN_POINT('',(-2.80902423245448,5.76947254508347,10.4394)); -#13125=CARTESIAN_POINT('',(-3.15587944545953,5.77033594146823,10.4758127742389)); -#13126=CARTESIAN_POINT('',(-3.21646206302916,5.69287761135048,10.1322316766297)); -#13127=CARTESIAN_POINT('',(-2.78934323359381,6.03101262066384,10.3520181339405)); -#13128=CARTESIAN_POINT('',(-3.16476913583871,6.03804494396101,10.4253968348066)); -#13129=CARTESIAN_POINT('',(-3.22647712321869,5.87287097918,10.0754334478545)); -#13130=CARTESIAN_POINT('',(-2.79018476687562,6.24277484509343,10.1835952516726)); -#13131=CARTESIAN_POINT('',(-3.18882323909981,6.26924912768914,10.2889792362959)); -#13132=CARTESIAN_POINT('',(-3.2457804885746,6.01798659881699,9.96595862285408)); -#13133=CARTESIAN_POINT('',(-3.2195642652918,5.68471606449503,10.1146382133369)); -#13134=CARTESIAN_POINT('',(-3.19781181168936,5.73723644505888,10.2380025079845)); -#13135=CARTESIAN_POINT('',(-3.03667697165559,5.9609634239662,10.3690527905668)); -#13136=CARTESIAN_POINT('',(-2.86445418996907,6.16449477367021,10.2687938465902)); -#13137=CARTESIAN_POINT('',(-2.79017188286873,6.2389810584271,10.1865996793041)); -#13138=CARTESIAN_POINT('',(-4.59814726699812,5.64882936014332,9.96514137693556)); -#13139=CARTESIAN_POINT('',(-4.76749512232423,5.7513844076339,10.5467599532789)); -#13140=CARTESIAN_POINT('',(-4.68890383612039,6.23974535577077,10.1823379502595)); -#13141=CARTESIAN_POINT('',(-4.31967815541404,5.66269499340465,10.043777290768)); -#13142=CARTESIAN_POINT('',(-4.40890635602547,5.7547534734225,10.5658668748345)); -#13143=CARTESIAN_POINT('',(-4.36637096552119,6.21833962946313,10.3033163686261)); -#13144=CARTESIAN_POINT('',(-3.73275452674528,5.66968230624295,10.0834043110358)); -#13145=CARTESIAN_POINT('',(-3.73289480630002,5.74849847030288,10.5303929893612)); -#13146=CARTESIAN_POINT('',(-3.73259948923166,6.16918068855583,10.3642808373552)); -#13147=CARTESIAN_POINT('',(-3.14972846111203,5.66278697873332,10.0442989654902)); -#13148=CARTESIAN_POINT('',(-3.06079292475793,5.75477461373555,10.5659867675079)); -#13149=CARTESIAN_POINT('',(-3.10332446232296,6.21820162476507,10.3041189432208)); -#13150=CARTESIAN_POINT('',(-2.86938502837593,5.64882598872198,9.96512225665501)); -#13151=CARTESIAN_POINT('',(-2.70000848108214,5.75138350175985,10.5467548158119)); -#13152=CARTESIAN_POINT('',(-2.77861774560304,6.23975056008086,10.1823085344433)); -#13153=CARTESIAN_POINT('',(-4.2480357347082,5.68471606449503,10.1146382133369)); -#13154=CARTESIAN_POINT('',(-4.26978818831077,5.7372364450587,10.2380025079852)); -#13155=CARTESIAN_POINT('',(-4.43092302836216,5.96096342395595,10.3690527905713)); -#13156=CARTESIAN_POINT('',(-4.6031458099994,6.16449477369617,10.2687938465808)); -#13157=CARTESIAN_POINT('',(-4.6774281171344,6.23898105842802,10.1865996793024)); -#13158=CARTESIAN_POINT('',(-4.25113794272565,5.50913508101189,10.1322317092669)); -#13159=CARTESIAN_POINT('',(-4.3053,5.50913508101189,10.4394)); -#13160=CARTESIAN_POINT('',(-4.61720685673785,5.50913508101189,10.4394)); -#13161=CARTESIAN_POINT('',(-4.25113793697084,5.69287761135048,10.1322316766298)); -#13162=CARTESIAN_POINT('',(-4.31172055454056,5.7703359414681,10.4758127742385)); -#13163=CARTESIAN_POINT('',(-4.65857576754587,5.76947254508314,10.4394)); -#13164=CARTESIAN_POINT('',(-4.24112287678131,5.87287097918014,10.0754334478545)); -#13165=CARTESIAN_POINT('',(-4.30283086416137,6.0380449439608,10.4253968348065)); -#13166=CARTESIAN_POINT('',(-4.67825676640583,6.03101262066397,10.3520181339405)); -#13167=CARTESIAN_POINT('',(-4.2218195114254,6.01798659881697,9.9659586228541)); -#13168=CARTESIAN_POINT('',(-4.27877676090019,6.2692491276891,10.2889792362959)); -#13169=CARTESIAN_POINT('',(-4.67741523312438,6.2427748450934,10.1835952516726)); -#13170=CARTESIAN_POINT('',(-4.61720685673785,5.50913508101189,10.0676838829279)); -#13171=CARTESIAN_POINT('',(-4.61720685673785,3.87350000000066,10.0676838829279)); -#13172=CARTESIAN_POINT('',(-4.61720685673785,4.7569068567385,10.0676838829279)); -#13173=CARTESIAN_POINT('',(-3.7338,4.7569068567385,10.0676838829279)); -#13174=CARTESIAN_POINT('',(3.7338,2.9900931432628,10.0676838829279)); -#13175=CARTESIAN_POINT('',(2.85039314326216,2.9900931432628,10.0676838829279)); -#13176=CARTESIAN_POINT('',(2.85039314326216,2.9900931432628,10.4394)); -#13177=CARTESIAN_POINT('',(3.21646205727435,3.356162057275,10.1322317092669)); -#13178=CARTESIAN_POINT('',(3.16230000000001,3.356162057275,10.1322317092669)); -#13179=CARTESIAN_POINT('',(1.17965049126164,3.35616205727499,10.1322317092669)); -#13180=CARTESIAN_POINT('',(1.17965049126166,3.356162057275,10.1322317092669)); -#13181=CARTESIAN_POINT('',(1.43885272129213,3.31331541171922,10.375227111239)); -#13182=CARTESIAN_POINT('',(1.77154143205263,3.12298891960893,10.4394)); -#13183=CARTESIAN_POINT('',(2.09816491898878,2.9900931432628,10.4394)); -#13184=CARTESIAN_POINT('',(2.09816491898878,2.9900931432628,10.4394)); -#13185=CARTESIAN_POINT('',(1.96487446658543,2.9900931432628,10.4394)); -#13186=CARTESIAN_POINT('',(2.85039314326216,3.87350000000066,10.0676838829279)); -#13187=CARTESIAN_POINT('',(2.85039314326216,2.23786491898943,10.0676838829279)); -#13188=CARTESIAN_POINT('',(2.85039314326216,2.23786491898943,10.4394)); -#13189=CARTESIAN_POINT('',(3.21646205727435,2.23786491898943,10.1322317092669)); -#13190=CARTESIAN_POINT('',(3.21646205727435,2.10457446658608,10.1322317092669)); -#13191=CARTESIAN_POINT('',(2.85039314326216,3.30200000000065,10.4394)); -#13192=CARTESIAN_POINT('',(2.85039314326216,2.23786491898943,10.4394)); -#13193=CARTESIAN_POINT('',(3.16230000000001,2.23786491898943,10.4394)); -#13194=CARTESIAN_POINT('',(3.21646205727435,2.23786491898943,10.1322317092669)); -#13195=CARTESIAN_POINT('',(2.80902423245421,1.97752745491777,10.4394)); -#13196=CARTESIAN_POINT('',(3.15587944545915,1.97666405853299,10.4758127742387)); -#13197=CARTESIAN_POINT('',(3.21646206302916,2.05412238865071,10.1322316766297)); -#13198=CARTESIAN_POINT('',(2.78934323359383,1.71598737933743,10.3520181339405)); -#13199=CARTESIAN_POINT('',(3.16476913583889,1.70895505604077,10.4253968348078)); -#13200=CARTESIAN_POINT('',(3.22647712321869,1.87412902082118,10.0754334478545)); -#13201=CARTESIAN_POINT('',(2.79018476687562,1.5042251549079,10.1835952516726)); -#13202=CARTESIAN_POINT('',(3.18882323909981,1.47775087231219,10.2889792362959)); -#13203=CARTESIAN_POINT('',(3.2457804885746,1.72901340118433,9.96595862285409)); -#13204=CARTESIAN_POINT('',(3.21956426529181,2.06228393550627,10.1146382133369)); -#13205=CARTESIAN_POINT('',(3.19781181168931,2.0097635549423,10.2380025079847)); -#13206=CARTESIAN_POINT('',(3.03667697162197,1.78603657605804,10.3690527905752)); -#13207=CARTESIAN_POINT('',(2.86445419003407,1.58250522628238,10.2687938465732)); -#13208=CARTESIAN_POINT('',(2.79017188286535,1.50801894157492,10.1865996793037)); -#13209=CARTESIAN_POINT('',(2.79017188286561,1.50801894157328,10.1865996793024)); -#13210=CARTESIAN_POINT('',(3.21956426529181,2.06228393550627,10.1146382133369)); -#13211=CARTESIAN_POINT('',(3.21646205727435,2.23786491898943,10.1322317092669)); -#13212=CARTESIAN_POINT('',(3.21646205727435,2.17876291509083,10.1322317092669)); -#13213=CARTESIAN_POINT('',(3.21748799388854,2.12016846326938,10.1264133335988)); -#13214=CARTESIAN_POINT('',(3.21956426529181,2.06228393550627,10.1146382133369)); -#13215=CARTESIAN_POINT('',(2.79017188286561,1.50801894157329,10.1865996793024)); -#13216=CARTESIAN_POINT('',(2.78958291377541,1.71688424334739,10.3512982504976)); -#13217=CARTESIAN_POINT('',(2.80865868201296,1.97522716574339,10.4394)); -#13218=CARTESIAN_POINT('',(2.85039314326216,2.23786491898943,10.4394)); -#13219=CARTESIAN_POINT('',(4.59814726699812,2.09817063985798,9.96514137693555)); -#13220=CARTESIAN_POINT('',(4.76749512232424,1.9956155923674,10.5467599532789)); -#13221=CARTESIAN_POINT('',(4.68890383612039,1.50725464423053,10.1823379502595)); -#13222=CARTESIAN_POINT('',(4.31967815541404,2.08430500659666,10.043777290768)); -#13223=CARTESIAN_POINT('',(4.40890635602528,1.99224652657898,10.5658668748338)); -#13224=CARTESIAN_POINT('',(4.36637096552077,1.52866037053827,10.3033163686263)); -#13225=CARTESIAN_POINT('',(3.73275452674528,2.07731769375835,10.0834043110358)); -#13226=CARTESIAN_POINT('',(3.73289480629989,1.99850152969842,10.5303929893607)); -#13227=CARTESIAN_POINT('',(3.732599489232,1.57781931144541,10.3642808373551)); -#13228=CARTESIAN_POINT('',(3.14972846111204,2.08421302126798,10.0442989654902)); -#13229=CARTESIAN_POINT('',(3.06079292475744,1.99222538626558,10.5659867675097)); -#13230=CARTESIAN_POINT('',(3.10332446232274,1.52879837523622,10.3041189432208)); -#13231=CARTESIAN_POINT('',(2.86938502837594,2.09817401127932,9.96512225665501)); -#13232=CARTESIAN_POINT('',(2.70000848108214,1.99561649824145,10.5467548158119)); -#13233=CARTESIAN_POINT('',(2.77861774560305,1.50724943992044,10.1823085344432)); -#13234=CARTESIAN_POINT('',(3.23349746513773,2.0857435139158,10.0356191103615)); -#13235=CARTESIAN_POINT('',(3.56577330177664,2.07860648471116,10.0760952143359)); -#13236=CARTESIAN_POINT('',(3.90182669822337,2.07860648471116,10.0760952143359)); -#13237=CARTESIAN_POINT('',(4.23410253486228,2.0857435139158,10.0356191103615)); -#13238=CARTESIAN_POINT('',(3.23349746513773,2.0857435139158,10.0356191103615)); -#13239=CARTESIAN_POINT('',(4.23410253486228,2.0857435139158,10.0356191103615)); -#13240=CARTESIAN_POINT('',(3.21956426529181,2.06228393550627,10.1146382133369)); -#13241=CARTESIAN_POINT('',(3.22405517391987,2.07312704472669,10.089169004881)); -#13242=CARTESIAN_POINT('',(3.22869963578945,2.0809456845676,10.0628289527181)); -#13243=CARTESIAN_POINT('',(3.23349746513773,2.0857435139158,10.0356191103615)); -#13244=CARTESIAN_POINT('',(4.6774281171344,1.50801894157328,10.1865996793024)); -#13245=CARTESIAN_POINT('',(4.37556042808275,1.52819227438178,10.2975878149612)); -#13246=CARTESIAN_POINT('',(3.73115886495548,1.57833486551578,10.3702927944998)); -#13247=CARTESIAN_POINT('',(3.09267881666832,1.52823499408209,10.2978228470172)); -#13248=CARTESIAN_POINT('',(2.79017188286516,1.50801894157354,10.1865996793038)); -#13249=CARTESIAN_POINT('',(4.6774281171344,1.50801894157328,10.1865996793024)); -#13250=CARTESIAN_POINT('',(4.2480357347082,2.06228393550627,10.1146382133369)); -#13251=CARTESIAN_POINT('',(4.26978818831077,2.0097635549426,10.2380025079852)); -#13252=CARTESIAN_POINT('',(4.43092302836308,1.78603657604601,10.3690527905715)); -#13253=CARTESIAN_POINT('',(4.60314580999758,1.58250522630381,10.2687938465803)); -#13254=CARTESIAN_POINT('',(4.6774281171344,1.50801894157328,10.1865996793024)); -#13255=CARTESIAN_POINT('',(4.2480357347082,2.06228393550627,10.1146382133369)); -#13256=CARTESIAN_POINT('',(4.23410253486228,2.0857435139158,10.0356191103615)); -#13257=CARTESIAN_POINT('',(4.23890036421056,2.0809456845676,10.0628289527181)); -#13258=CARTESIAN_POINT('',(4.24354482608013,2.07312704472643,10.0891690048809)); -#13259=CARTESIAN_POINT('',(4.2480357347082,2.0622839355061,10.1146382133368)); -#13260=CARTESIAN_POINT('',(4.25113794272565,2.23786491898943,10.1322317092669)); -#13261=CARTESIAN_POINT('',(4.3053,2.23786491898943,10.4394)); -#13262=CARTESIAN_POINT('',(4.61720685673785,2.23786491898943,10.4394)); -#13263=CARTESIAN_POINT('',(4.25113793697084,2.05412238865072,10.1322316766297)); -#13264=CARTESIAN_POINT('',(4.31172055454045,1.97666405853311,10.4758127742385)); -#13265=CARTESIAN_POINT('',(4.65857576754564,1.97752745491785,10.4394)); -#13266=CARTESIAN_POINT('',(4.24112287678132,1.87412902082122,10.0754334478545)); -#13267=CARTESIAN_POINT('',(4.30283086416121,1.70895505604074,10.4253968348075)); -#13268=CARTESIAN_POINT('',(4.67825676640611,1.71598737933749,10.3520181339406)); -#13269=CARTESIAN_POINT('',(4.22181951142541,1.72901340118433,9.96595862285409)); -#13270=CARTESIAN_POINT('',(4.27877676090019,1.47775087231219,10.2889792362959)); -#13271=CARTESIAN_POINT('',(4.67741523312438,1.5042251549079,10.1835952516726)); -#13272=CARTESIAN_POINT('',(4.2480357347082,2.06228393550627,10.1146382133369)); -#13273=CARTESIAN_POINT('',(4.25011200611146,2.12016846326938,10.1264133335988)); -#13274=CARTESIAN_POINT('',(4.25113794272565,2.17876291509083,10.1322317092669)); -#13275=CARTESIAN_POINT('',(4.25113794272565,2.23786491898943,10.1322317092669)); -#13276=CARTESIAN_POINT('',(4.25113794272565,2.23786491898943,10.1322317092669)); -#13277=CARTESIAN_POINT('',(4.61720685673785,2.23786491898943,10.4394)); -#13278=CARTESIAN_POINT('',(4.65894131798705,1.97522716574339,10.4394)); -#13279=CARTESIAN_POINT('',(4.6780170862246,1.71688424334738,10.3512982504976)); -#13280=CARTESIAN_POINT('',(4.6774281171344,1.50801894157328,10.1865996793024)); -#13281=CARTESIAN_POINT('',(4.61720685673785,2.23786491898943,10.4394)); -#13282=CARTESIAN_POINT('',(4.61720685673785,2.23786491898943,10.0676838829279)); -#13283=CARTESIAN_POINT('',(4.61720685673785,3.87350000000066,10.0676838829279)); -#13284=CARTESIAN_POINT('',(4.61720685673785,2.9900931432628,10.0676838829279)); -#13285=CARTESIAN_POINT('',(4.61720685673785,2.9900931432628,10.4394)); -#13286=CARTESIAN_POINT('',(4.25113794272566,3.356162057275,10.1322317092669)); -#13287=CARTESIAN_POINT('',(4.25113794272565,3.30200000000065,10.1322317092669)); -#13288=CARTESIAN_POINT('',(4.61720685673785,2.10457446658608,10.4394)); -#13289=CARTESIAN_POINT('',(3.7338,2.9900931432628,10.0676838829279)); -#13290=CARTESIAN_POINT('',(6.28794950873838,3.356162057275,10.1322317092669)); -#13291=CARTESIAN_POINT('',(6.02874727870791,3.31331541171921,10.375227111239)); -#13292=CARTESIAN_POINT('',(5.69605856794748,3.12298891960872,10.4394)); -#13293=CARTESIAN_POINT('',(5.36943508101122,2.9900931432628,10.4394)); -#13294=CARTESIAN_POINT('',(6.2879495087384,3.35616205727499,10.1322317092669)); -#13295=CARTESIAN_POINT('',(5.36943508101122,2.9900931432628,10.4394)); -#13296=CARTESIAN_POINT('',(5.50272553341458,3.356162057275,10.1322317092669)); -#13297=CARTESIAN_POINT('',(4.3053,2.9900931432628,10.4394)); -#13298=CARTESIAN_POINT('',(3.7338,4.7569068567385,10.0676838829279)); -#13299=CARTESIAN_POINT('',(1.17965049126162,4.39083794272631,10.1322317092669)); -#13300=CARTESIAN_POINT('',(1.43885272129209,4.43368458828209,10.375227111239)); -#13301=CARTESIAN_POINT('',(1.7715414320526,4.62401108039239,10.4394)); -#13302=CARTESIAN_POINT('',(2.09816491898877,4.7569068567385,10.4394)); -#13303=CARTESIAN_POINT('',(1.1796504912616,4.39083794272631,10.1322317092669)); -#13304=CARTESIAN_POINT('',(2.09816491898877,4.7569068567385,10.4394)); -#13305=CARTESIAN_POINT('',(1.96487446658542,4.39083794272631,10.1322317092669)); -#13306=CARTESIAN_POINT('',(3.21646205727435,4.39083794272631,10.1322317092669)); -#13307=CARTESIAN_POINT('',(2.85039314326216,4.7569068567385,10.0676838829279)); -#13308=CARTESIAN_POINT('',(2.85039314326216,4.7569068567385,10.4394)); -#13309=CARTESIAN_POINT('',(3.16230000000001,4.7569068567385,10.4394)); -#13310=CARTESIAN_POINT('',(2.85039314326216,3.87350000000066,10.0676838829279)); -#13311=CARTESIAN_POINT('',(2.85039314326216,5.50913508101189,10.0676838829279)); -#13312=CARTESIAN_POINT('',(3.21646205727435,5.50913508101189,10.1322317092669)); -#13313=CARTESIAN_POINT('',(2.85039314326216,5.50913508101189,10.4394)); -#13314=CARTESIAN_POINT('',(2.85039314326216,5.64242553341523,10.4394)); -#13315=CARTESIAN_POINT('',(3.21646205727435,4.44500000000065,10.1322317092669)); -#13316=CARTESIAN_POINT('',(3.7338,4.7569068567385,10.0676838829279)); -#13317=CARTESIAN_POINT('',(6.28794950873834,4.39083794272631,10.1322317092669)); -#13318=CARTESIAN_POINT('',(6.02874727870787,4.43368458828209,10.375227111239)); -#13319=CARTESIAN_POINT('',(5.69605856794747,4.6240110803926,10.4394)); -#13320=CARTESIAN_POINT('',(5.36943508101123,4.7569068567385,10.4394)); -#13321=CARTESIAN_POINT('',(5.36943508101123,4.7569068567385,10.4394)); -#13322=CARTESIAN_POINT('',(6.28794950873836,4.39083794272631,10.1322317092669)); -#13323=CARTESIAN_POINT('',(5.50272553341458,4.7569068567385,10.4394)); -#13324=CARTESIAN_POINT('',(4.61720685673785,4.7569068567385,10.4394)); -#13325=CARTESIAN_POINT('',(4.61720685673785,4.7569068567385,10.0676838829279)); -#13326=CARTESIAN_POINT('',(4.25113794272566,4.39083794272631,10.1322317092669)); -#13327=CARTESIAN_POINT('',(4.3053,4.39083794272631,10.1322317092669)); -#13328=CARTESIAN_POINT('',(3.21646205727435,5.50913508101189,10.1322317092669)); -#13329=CARTESIAN_POINT('',(3.16230000000001,5.50913508101189,10.4394)); -#13330=CARTESIAN_POINT('',(2.85039314326216,5.50913508101189,10.4394)); -#13331=CARTESIAN_POINT('',(3.21646206302916,5.69287761135048,10.1322316766297)); -#13332=CARTESIAN_POINT('',(3.15587944545927,5.77033594146806,10.4758127742386)); -#13333=CARTESIAN_POINT('',(2.80902423245437,5.76947254508314,10.4394)); -#13334=CARTESIAN_POINT('',(3.22647712321869,5.87287097918006,10.0754334478545)); -#13335=CARTESIAN_POINT('',(3.16476913583864,6.03804494396064,10.425396834807)); -#13336=CARTESIAN_POINT('',(2.78934323359372,6.03101262066385,10.3520181339405)); -#13337=CARTESIAN_POINT('',(3.2457804885746,6.01798659881697,9.9659586228541)); -#13338=CARTESIAN_POINT('',(3.18882323909981,6.26924912768911,10.2889792362959)); -#13339=CARTESIAN_POINT('',(2.79018476687563,6.2427748450934,10.1835952516726)); -#13340=CARTESIAN_POINT('',(3.21956426529181,5.68471606449503,10.1146382133369)); -#13341=CARTESIAN_POINT('',(3.19781181168924,5.7372364450587,10.2380025079852)); -#13342=CARTESIAN_POINT('',(3.03667697163784,5.96096342395595,10.3690527905713)); -#13343=CARTESIAN_POINT('',(2.8644541900006,6.16449477369617,10.2687938465808)); -#13344=CARTESIAN_POINT('',(2.79017188286561,6.23898105842802,10.1865996793024)); -#13345=CARTESIAN_POINT('',(3.21956426529181,5.68471606449503,10.1146382133369)); -#13346=CARTESIAN_POINT('',(2.79017188286561,6.23898105842802,10.1865996793024)); -#13347=CARTESIAN_POINT('',(2.85039314326216,5.50913508101189,10.4394)); -#13348=CARTESIAN_POINT('',(2.80865868201296,5.77177283425792,10.4394)); -#13349=CARTESIAN_POINT('',(2.78958291377541,6.03011575665391,10.3512982504976)); -#13350=CARTESIAN_POINT('',(2.79017188286561,6.23898105842801,10.1865996793024)); -#13351=CARTESIAN_POINT('',(3.21956426529181,5.68471606449503,10.1146382133369)); -#13352=CARTESIAN_POINT('',(3.21748799388854,5.62683153673192,10.1264133335988)); -#13353=CARTESIAN_POINT('',(3.21646205727435,5.56823708491048,10.1322317092669)); -#13354=CARTESIAN_POINT('',(3.21646205727435,5.50913508101189,10.1322317092669)); -#13355=CARTESIAN_POINT('',(4.61720685673785,3.87350000000066,10.0676838829279)); -#13356=CARTESIAN_POINT('',(4.61720685673785,4.44500000000065,10.4394)); -#13357=CARTESIAN_POINT('',(4.61720685673785,5.50913508101188,10.4394)); -#13358=CARTESIAN_POINT('',(4.61720685673785,5.50913508101188,10.0676838829279)); -#13359=CARTESIAN_POINT('',(4.25113794272565,5.50913508101188,10.1322317092669)); -#13360=CARTESIAN_POINT('',(4.25113794272565,5.64242553341523,10.1322317092669)); -#13361=CARTESIAN_POINT('',(2.86945273300189,5.64882936014332,9.96514137693556)); -#13362=CARTESIAN_POINT('',(2.70010487767578,5.7513844076339,10.5467599532789)); -#13363=CARTESIAN_POINT('',(2.77869616387962,6.23974535577077,10.1823379502595)); -#13364=CARTESIAN_POINT('',(3.14792184458603,5.66269499340465,10.043777290768)); -#13365=CARTESIAN_POINT('',(3.05869364397484,5.7547534734227,10.5658668748347)); -#13366=CARTESIAN_POINT('',(3.10122903447916,6.21833962946318,10.3033163686262)); -#13367=CARTESIAN_POINT('',(3.73484547325438,5.66968230624294,10.0834043110358)); -#13368=CARTESIAN_POINT('',(3.73470519369954,5.74849847030267,10.530392989361)); -#13369=CARTESIAN_POINT('',(3.73500051076791,6.16918068855581,10.3642808373552)); -#13370=CARTESIAN_POINT('',(4.3178715388886,5.66278697873333,10.0442989654902)); -#13371=CARTESIAN_POINT('',(4.40680707524275,5.75477461373581,10.565986767508)); -#13372=CARTESIAN_POINT('',(4.36427553767756,6.21820162476507,10.3041189432207)); -#13373=CARTESIAN_POINT('',(4.59821497162407,5.64882598872198,9.96512225665501)); -#13374=CARTESIAN_POINT('',(4.76759151891787,5.75138350175985,10.5467548158119)); -#13375=CARTESIAN_POINT('',(4.68898225439696,6.23975056008086,10.1823085344433)); -#13376=CARTESIAN_POINT('',(4.2480357347082,5.68471606449503,10.1146382133369)); -#13377=CARTESIAN_POINT('',(4.26978818831064,5.73723644505888,10.2380025079845)); -#13378=CARTESIAN_POINT('',(4.43092302834441,5.9609634239662,10.3690527905668)); -#13379=CARTESIAN_POINT('',(4.60314581003094,6.16449477367021,10.2687938465902)); -#13380=CARTESIAN_POINT('',(4.67742811713128,6.2389810584271,10.1865996793041)); -#13381=CARTESIAN_POINT('',(4.2480357347082,5.68471606449503,10.1146382133369)); -#13382=CARTESIAN_POINT('',(4.6774281171344,6.23898105842802,10.1865996793024)); -#13383=CARTESIAN_POINT('',(2.79017188286561,6.23898105842802,10.1865996793024)); -#13384=CARTESIAN_POINT('',(3.09203957191726,6.21880772561951,10.2975878149613)); -#13385=CARTESIAN_POINT('',(3.73644113504453,6.16866513448552,10.3702927944998)); -#13386=CARTESIAN_POINT('',(4.37492118333169,6.21876500591921,10.2978228470172)); -#13387=CARTESIAN_POINT('',(4.67742811713485,6.23898105842776,10.1865996793038)); -#13388=CARTESIAN_POINT('',(3.23349746513773,5.6612564860855,10.0356191103615)); -#13389=CARTESIAN_POINT('',(3.22869963578945,5.66605431543378,10.0628289527181)); -#13390=CARTESIAN_POINT('',(3.22405517391988,5.67387295527485,10.0891690048809)); -#13391=CARTESIAN_POINT('',(3.21956426529181,5.6847160644952,10.1146382133368)); -#13392=CARTESIAN_POINT('',(3.23349746513773,5.6612564860855,10.0356191103615)); -#13393=CARTESIAN_POINT('',(4.23410253486228,5.6612564860855,10.0356191103615)); -#13394=CARTESIAN_POINT('',(3.90182669822337,5.66839351529014,10.0760952143359)); -#13395=CARTESIAN_POINT('',(3.56577330177664,5.66839351529014,10.0760952143359)); -#13396=CARTESIAN_POINT('',(3.23349746513773,5.6612564860855,10.0356191103615)); -#13397=CARTESIAN_POINT('',(4.23410253486228,5.6612564860855,10.0356191103615)); -#13398=CARTESIAN_POINT('',(4.2480357347082,5.68471606449503,10.1146382133369)); -#13399=CARTESIAN_POINT('',(4.24354482608014,5.67387295527461,10.089169004881)); -#13400=CARTESIAN_POINT('',(4.23890036421056,5.66605431543371,10.0628289527181)); -#13401=CARTESIAN_POINT('',(4.23410253486228,5.6612564860855,10.0356191103615)); -#13402=CARTESIAN_POINT('',(4.61720685673785,5.50913508101188,10.4394)); -#13403=CARTESIAN_POINT('',(4.3053,5.50913508101188,10.4394)); -#13404=CARTESIAN_POINT('',(4.25113794272565,5.50913508101188,10.1322317092669)); -#13405=CARTESIAN_POINT('',(4.65857576754564,5.76947254508347,10.4394)); -#13406=CARTESIAN_POINT('',(4.31172055454045,5.77033594146855,10.4758127742385)); -#13407=CARTESIAN_POINT('',(4.25113793697084,5.69287761135048,10.1322316766297)); -#13408=CARTESIAN_POINT('',(4.67825676640647,6.03101262066403,10.3520181339403)); -#13409=CARTESIAN_POINT('',(4.30283086416165,6.03804494396065,10.4253968348061)); -#13410=CARTESIAN_POINT('',(4.24112287678132,5.87287097918,10.0754334478545)); -#13411=CARTESIAN_POINT('',(4.67741523312438,6.24277484509343,10.1835952516726)); -#13412=CARTESIAN_POINT('',(4.27877676090019,6.26924912768914,10.2889792362959)); -#13413=CARTESIAN_POINT('',(4.2218195114254,6.01798659881699,9.96595862285408)); -#13414=CARTESIAN_POINT('',(4.6774281171344,6.23898105842802,10.1865996793024)); -#13415=CARTESIAN_POINT('',(4.6780170862246,6.03011575665392,10.3512982504976)); -#13416=CARTESIAN_POINT('',(4.65894131798705,5.77177283425791,10.4394)); -#13417=CARTESIAN_POINT('',(4.61720685673785,5.50913508101188,10.4394)); -#13418=CARTESIAN_POINT('',(4.25113794272565,5.50913508101188,10.1322317092669)); -#13419=CARTESIAN_POINT('',(4.25113794272565,5.56823708491047,10.1322317092669)); -#13420=CARTESIAN_POINT('',(4.25011200611147,5.62683153673192,10.1264133335988)); -#13421=CARTESIAN_POINT('',(4.2480357347082,5.68471606449503,10.1146382133369)); -#13422=CARTESIAN_POINT('',(7.4676,0.,9.37735395122258)); -#13423=CARTESIAN_POINT('',(-3.7338,3.48926737315493,9.37735395122258)); -#13424=CARTESIAN_POINT('',(3.34956737315428,3.48926737315493,9.37735395122258)); -#13425=CARTESIAN_POINT('',(0.838181536101964,3.48926737315493,9.37735395122258)); -#13426=CARTESIAN_POINT('',(3.34956737315428,3.87350000000065,9.37735395122258)); -#13427=CARTESIAN_POINT('',(3.34956737315428,2.20181342193235,9.37735395122258)); -#13428=CARTESIAN_POINT('',(-3.7338,2.20181342193235,9.37735395122258)); -#13429=CARTESIAN_POINT('',(4.11803262684572,2.20181342193235,9.37735395122258)); -#13430=CARTESIAN_POINT('',(4.11803262684572,3.87350000000065,9.37735395122258)); -#13431=CARTESIAN_POINT('',(4.11803262684573,3.48926737315493,9.37735395122258)); -#13432=CARTESIAN_POINT('',(-3.7338,3.48926737315493,9.37735395122258)); -#13433=CARTESIAN_POINT('',(6.62941846389804,3.48926737315493,9.37735395122258)); -#13434=CARTESIAN_POINT('',(3.7338,3.87350000000066,9.37735395122258)); -#13435=CARTESIAN_POINT('',(6.62941846389804,4.25773262684638,9.37735395122258)); -#13436=CARTESIAN_POINT('',(-3.7338,4.25773262684638,9.37735395122258)); -#13437=CARTESIAN_POINT('',(4.11803262684573,4.25773262684638,9.37735395122258)); -#13438=CARTESIAN_POINT('',(4.11803262684572,3.87350000000065,9.37735395122258)); -#13439=CARTESIAN_POINT('',(4.11803262684572,5.54518657806895,9.37735395122258)); -#13440=CARTESIAN_POINT('',(-3.7338,5.54518657806895,9.37735395122258)); -#13441=CARTESIAN_POINT('',(3.34956737315428,5.54518657806895,9.37735395122258)); -#13442=CARTESIAN_POINT('',(3.34956737315428,3.87350000000065,9.37735395122258)); -#13443=CARTESIAN_POINT('',(3.34956737315428,4.25773262684638,9.37735395122258)); -#13444=CARTESIAN_POINT('',(-3.7338,4.25773262684638,9.37735395122258)); -#13445=CARTESIAN_POINT('',(0.838181536101962,4.25773262684638,9.37735395122258)); -#13446=CARTESIAN_POINT('',(3.7338,3.87350000000066,9.37735395122258)); -#13447=CARTESIAN_POINT('',(-3.7338,4.44500000000065,10.4394)); -#13448=CARTESIAN_POINT('',(2.96044384882856,4.64685615117211,11.5841831203167)); -#13449=CARTESIAN_POINT('',(0.838181536101962,4.25773262684638,9.37735395122258)); -#13450=CARTESIAN_POINT('',(0.8448562380472,4.30803389967766,9.66262664533428)); -#13451=CARTESIAN_POINT('',(0.972465057889327,4.35658977739618,9.93800071187487)); -#13452=CARTESIAN_POINT('',(1.1796504912616,4.39083794272631,10.1322317092669)); -#13453=CARTESIAN_POINT('',(-3.7338,4.44500000000065,10.4394)); -#13454=CARTESIAN_POINT('',(6.28794950873836,4.39083794272631,10.1322317092669)); -#13455=CARTESIAN_POINT('',(6.49467555881488,4.35666571437112,9.93843137186033)); -#13456=CARTESIAN_POINT('',(6.62274781705675,4.30800334000393,9.66245333281223)); -#13457=CARTESIAN_POINT('',(6.62941846390166,4.25773262684647,9.37735395122308)); -#13458=CARTESIAN_POINT('',(4.0699870281924,4.20968702819305,9.10487382106732)); -#13459=CARTESIAN_POINT('',(-3.7338,3.30200000000065,10.4394)); -#13460=CARTESIAN_POINT('',(1.17965049126164,3.35616205727499,10.1322317092669)); -#13461=CARTESIAN_POINT('',(0.972924441185125,3.39033428563018,9.93843137186033)); -#13462=CARTESIAN_POINT('',(0.844852182943258,3.43899665999737,9.66245333281223)); -#13463=CARTESIAN_POINT('',(0.838181536098344,3.48926737315484,9.37735395122308)); -#13464=CARTESIAN_POINT('',(2.96044384882856,3.1001438488292,11.5841831203167)); -#13465=CARTESIAN_POINT('',(-3.7338,3.30200000000065,10.4394)); -#13466=CARTESIAN_POINT('',(4.0699870281924,3.53731297180825,9.10487382106732)); -#13467=CARTESIAN_POINT('',(6.62941846389804,3.48926737315493,9.37735395122258)); -#13468=CARTESIAN_POINT('',(6.6227437619528,3.43896610032364,9.66262664533428)); -#13469=CARTESIAN_POINT('',(6.49513494211067,3.39041022260513,9.93800071187487)); -#13470=CARTESIAN_POINT('',(6.2879495087384,3.35616205727499,10.1322317092669)); -#13471=CARTESIAN_POINT('',(4.3053,3.87350000000065,10.4394)); -#13472=CARTESIAN_POINT('',(4.0699870281924,2.24985902058568,9.10487382106732)); -#13473=CARTESIAN_POINT('',(3.16230000000001,3.87350000000065,10.4394)); -#13474=CARTESIAN_POINT('',(3.21671355110842,2.06895959988649,10.130805416858)); -#13475=CARTESIAN_POINT('',(4.3053,3.87350000000065,10.4394)); -#13476=CARTESIAN_POINT('',(4.25088644889158,5.67804040011481,10.130805416858)); -#13477=CARTESIAN_POINT('',(-3.7338,2.01454604877807,10.4394)); -#13478=CARTESIAN_POINT('',(3.16230000000001,3.87350000000065,10.4394)); -#13479=CARTESIAN_POINT('',(2.96044384882856,5.93431010239468,11.5841831203167)); -#13480=CARTESIAN_POINT('',(-3.7338,5.73245395122323,10.4394)); -#13481=CARTESIAN_POINT('',(3.7338,3.87350000000066,9.37735395122258)); -#13482=CARTESIAN_POINT('',(3.7338,3.87350000000066,10.4394)); -#13483=CARTESIAN_POINT('',(3.7338,3.87350000000066,10.4394)); -#13484=CARTESIAN_POINT('',(3.7338,3.87350000000066,9.37735395122258)); -#13485=CARTESIAN_POINT('',(3.7338,3.87350000000066,9.37735395122258)); -#13486=CARTESIAN_POINT('',(3.7338,3.87350000000066,10.4394)); -#13487=CARTESIAN_POINT('',(3.7338,3.87350000000066,9.37735395122258)); -#13488=CARTESIAN_POINT('',(3.7338,3.87350000000066,10.4394)); -#13489=CARTESIAN_POINT('',(3.7338,3.87350000000066,8.4000595934953)); -#13490=CARTESIAN_POINT('',(3.7338,3.87350000000066,8.4000595934953)); -#13491=CARTESIAN_POINT('',(0.812800000000001,3.87350000000066,8.4000595934953)); -#13492=CARTESIAN_POINT('',(3.7338,3.87350000000066,8.1788)); -#13493=CARTESIAN_POINT('',(1.0340595934953,3.87350000000066,8.1788)); -#13494=CARTESIAN_POINT('',(3.7338,3.87350000000066,10.4394)); -#13495=CARTESIAN_POINT('',(3.7338,3.87350000000066,10.4394)); -#13496=CARTESIAN_POINT('',(3.7338,3.87350000000066,8.1788)); -#13497=CARTESIAN_POINT('',(3.7338,3.87350000000066,10.4394)); -#13498=CARTESIAN_POINT('',(3.7338,3.87350000000066,10.4394)); -#13499=CARTESIAN_POINT('',(3.7338,3.87350000000066,10.4394)); -#13500=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#5456, -#5457,#5458,#5459,#5460,#5461,#5462,#5463,#5464,#5465,#5466,#5467,#5468, -#5469,#5470,#5471,#5472,#5473,#5474,#5475,#5476,#5477,#5478,#5479,#5480, -#5481,#5482,#5483,#5484,#5485,#5486,#5487,#5488,#5489,#5490,#5491,#5492, -#5493,#5494,#5495,#5496,#5497,#5498,#5499,#5500,#5501,#5502,#5503,#5504, -#5505,#5506,#5507,#5508,#5509,#5510,#5511,#5512,#5513,#5514,#5515,#5516, -#5517,#5518,#5519,#5520,#5521,#5522,#5523,#5524,#5525,#5526,#5527,#5528, -#5529,#5530,#5531,#5532,#5533,#5534,#5535,#5536,#5537,#5538,#5539,#5540, -#5541,#5542,#5543,#5544,#5545,#5546,#5547,#5548,#5549,#5550,#5551,#5552, -#5553,#5554,#5555,#5556,#5557,#5558,#5559,#5560,#5561,#5562,#5563,#5564, -#5565,#5566,#5567,#5568,#5569,#5570,#5571,#5572,#5573,#5574,#5575,#5576, -#5577,#5578,#5579,#5580,#5581,#5582,#5583,#5584,#5585,#5586,#5587,#5588, -#5589,#5590,#5591,#5592,#5593,#5594,#5595,#5596,#5597,#5598,#5599,#5600, -#5601,#5602,#5603,#5604,#5605,#5606,#5607,#5608,#5609,#5610,#5611,#5612, -#5613,#5614,#5615,#5616,#5617,#5618,#5619,#5620,#5621,#5622,#5623,#5624, -#5625,#5626,#5627,#5628,#5629,#5630,#5631,#5632,#5633,#5634,#5635,#5636, -#5637,#5638,#5639,#5640,#5641,#5642,#5643,#5644,#5645,#5646,#5647,#5648, -#5649,#5650,#5651,#5652,#5653,#5654,#5655,#5656,#5657,#5658,#5659,#5660, -#5661,#5662,#5663,#5664,#5665,#5666,#5667,#5668,#5669,#5670,#5671,#5672, -#5673,#5674,#5675,#5676,#5677,#5678,#5679,#5680,#5681,#5682,#5683,#5684, -#5685,#5686,#5687,#5688,#5689,#5690,#5691,#5692,#5693,#5694,#5695,#5696, -#5697,#5698,#5699,#5700,#5701,#5702,#5703,#5704,#5705,#5706,#5707,#5708, -#5709,#5710,#5711,#5712,#5713,#5714,#5715,#5716,#5717,#5718,#5719,#5720, -#5721,#5722,#5723,#5724,#5725,#5726,#5727,#5728,#5729),#13501); -#13501=( -GEOMETRIC_REPRESENTATION_CONTEXT(3) -GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#13502)) -GLOBAL_UNIT_ASSIGNED_CONTEXT((#13505,#13504,#13503)) -REPRESENTATION_CONTEXT('Terminal Block - 7.62mm - 2 Pin', -'TOP_LEVEL_ASSEMBLY_PART') -); -#13502=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.005),#13505, -'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); -#13503=( -NAMED_UNIT(*) -SI_UNIT($,.STERADIAN.) -SOLID_ANGLE_UNIT() -); -#13504=( -NAMED_UNIT(*) -PLANE_ANGLE_UNIT() -SI_UNIT($,.RADIAN.) -); -#13505=( -LENGTH_UNIT() -NAMED_UNIT(*) -SI_UNIT(.MILLI.,.METRE.) -); -#13506=PRODUCT_DEFINITION_SHAPE('','',#13507); -#13507=PRODUCT_DEFINITION('','',#13509,#13508); -#13508=PRODUCT_DEFINITION_CONTEXT('',#13515,'design'); -#13509=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#13511, - .NOT_KNOWN.); -#13510=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#13511)); -#13511=PRODUCT('Terminal Block - 7.62mm - 2 Pin', -'Terminal Block - 7.62mm - 2 Pin','Terminal Block - 7.62mm - 2 Pin',(#13513)); -#13512=PRODUCT_CATEGORY('',''); -#13513=PRODUCT_CONTEXT('',#13515,'mechanical'); -#13514=APPLICATION_PROTOCOL_DEFINITION('international standard', -'automotive_design',2010,#13515); -#13515=APPLICATION_CONTEXT( -'core data for automotive mechanical design processes'); -ENDSEC; -END-ISO-10303-21; diff --git a/kicad/qeda.3dshapes/SOT95P270X130-5N.stp b/kicad/qeda.3dshapes/SOT95P270X130-5N.stp deleted file mode 100644 index c9f011d..0000000 --- a/kicad/qeda.3dshapes/SOT95P270X130-5N.stp +++ /dev/null @@ -1,199 +0,0 @@ -ISO-10303-21; -HEADER; -FILE_DESCRIPTION(('Simplified model for SOT95P270X130-5N'),'2;1'); -FILE_NAME('SOT95P270X130-5N','1970-01-01T00:00:00.00',(''),(''), - 'QEDA','QEDA','Unknown'); -FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); -ENDSEC; -DATA; -#1 = APPLICATION_PROTOCOL_DEFINITION('international standard', - 'automotive_design',2000,#2); -#2 = APPLICATION_CONTEXT( - 'core data for automotive mechanical design processes'); -#3 = SHAPE_DEFINITION_REPRESENTATION(#4,#10); -#4 = PRODUCT_DEFINITION_SHAPE('','',#5); -#5 = PRODUCT_DEFINITION('design','',#6,#9); -#6 = PRODUCT_DEFINITION_FORMATION('','',#7); -#7 = PRODUCT('SOT95P270X130-5N','SOT95P270X130-5N','',(#8)); -#8 = PRODUCT_CONTEXT('',#2,'mechanical'); -#9 = PRODUCT_DEFINITION_CONTEXT('part definition',#2,'design'); -#10 = ADVANCED_BREP_SHAPE_REPRESENTATION('',(#11,#15),#165); -#11 = AXIS2_PLACEMENT_3D('',#12,#13,#14); -#12 = CARTESIAN_POINT('',(0.,0.,0.)); -#13 = DIRECTION('',(0.,0.,1.)); -#14 = DIRECTION('',(1.,0.,-0.)); -#15 = MANIFOLD_SOLID_BREP('',#16); -#16 = CLOSED_SHELL('',(#17,#57,#97,#119,#141,#153)); -#17 = ADVANCED_FACE('',(#18),#52,.F.); -#18 = FACE_BOUND('',#19,.F.); -#19 = EDGE_LOOP('',(#20,#30,#38,#46)); -#20 = ORIENTED_EDGE('',*,*,#21,.F.); -#21 = EDGE_CURVE('',#22,#24,#26,.T.); -#22 = VERTEX_POINT('',#23); -#23 = CARTESIAN_POINT('',(0.,0.,0.)); -#24 = VERTEX_POINT('',#25); -#25 = CARTESIAN_POINT('',(0.,0.,1.3)); -#26 = LINE('',#27,#28); -#27 = CARTESIAN_POINT('',(0.,0.,0.)); -#28 = VECTOR('',#29,1.); -#29 = DIRECTION('',(0.,0.,1.)); -#30 = ORIENTED_EDGE('',*,*,#31,.T.); -#31 = EDGE_CURVE('',#22,#32,#34,.T.); -#32 = VERTEX_POINT('',#33); -#33 = CARTESIAN_POINT('',(0.,2.9,0.)); -#34 = LINE('',#35,#36); -#35 = CARTESIAN_POINT('',(0.,0.,0.)); -#36 = VECTOR('',#37,1.); -#37 = DIRECTION('',(-0.,1.,0.)); -#38 = ORIENTED_EDGE('',*,*,#39,.T.); -#39 = EDGE_CURVE('',#32,#40,#42,.T.); -#40 = VERTEX_POINT('',#41); -#41 = CARTESIAN_POINT('',(0.,2.9,1.3)); -#42 = LINE('',#43,#44); -#43 = CARTESIAN_POINT('',(0.,2.9,0.)); -#44 = VECTOR('',#45,1.); -#45 = DIRECTION('',(0.,0.,1.)); -#46 = ORIENTED_EDGE('',*,*,#47,.F.); -#47 = EDGE_CURVE('',#24,#40,#48,.T.); -#48 = LINE('',#49,#50); -#49 = CARTESIAN_POINT('',(0.,0.,1.3)); -#50 = VECTOR('',#51,1.); -#51 = DIRECTION('',(-0.,1.,0.)); -#52 = PLANE('',#53); -#53 = AXIS2_PLACEMENT_3D('',#54,#55,#56); -#54 = CARTESIAN_POINT('',(0.,0.,0.)); -#55 = DIRECTION('',(1.,0.,-0.)); -#56 = DIRECTION('',(0.,0.,1.)); -#57 = ADVANCED_FACE('',(#58),#92,.T.); -#58 = FACE_BOUND('',#59,.T.); -#59 = EDGE_LOOP('',(#60,#70,#78,#86)); -#60 = ORIENTED_EDGE('',*,*,#61,.F.); -#61 = EDGE_CURVE('',#62,#64,#66,.T.); -#62 = VERTEX_POINT('',#63); -#63 = CARTESIAN_POINT('',(1.55,0.,0.)); -#64 = VERTEX_POINT('',#65); -#65 = CARTESIAN_POINT('',(1.55,0.,1.3)); -#66 = LINE('',#67,#68); -#67 = CARTESIAN_POINT('',(1.55,0.,0.)); -#68 = VECTOR('',#69,1.); -#69 = DIRECTION('',(0.,0.,1.)); -#70 = ORIENTED_EDGE('',*,*,#71,.T.); -#71 = EDGE_CURVE('',#62,#72,#74,.T.); -#72 = VERTEX_POINT('',#73); -#73 = CARTESIAN_POINT('',(1.55,2.9,0.)); -#74 = LINE('',#75,#76); -#75 = CARTESIAN_POINT('',(1.55,0.,0.)); -#76 = VECTOR('',#77,1.); -#77 = DIRECTION('',(-0.,1.,0.)); -#78 = ORIENTED_EDGE('',*,*,#79,.T.); -#79 = EDGE_CURVE('',#72,#80,#82,.T.); -#80 = VERTEX_POINT('',#81); -#81 = CARTESIAN_POINT('',(1.55,2.9,1.3)); -#82 = LINE('',#83,#84); -#83 = CARTESIAN_POINT('',(1.55,2.9,0.)); -#84 = VECTOR('',#85,1.); -#85 = DIRECTION('',(0.,0.,1.)); -#86 = ORIENTED_EDGE('',*,*,#87,.F.); -#87 = EDGE_CURVE('',#64,#80,#88,.T.); -#88 = LINE('',#89,#90); -#89 = CARTESIAN_POINT('',(1.55,0.,1.3)); -#90 = VECTOR('',#91,1.); -#91 = DIRECTION('',(-0.,1.,0.)); -#92 = PLANE('',#93); -#93 = AXIS2_PLACEMENT_3D('',#94,#95,#96); -#94 = CARTESIAN_POINT('',(1.55,0.,0.)); -#95 = DIRECTION('',(1.,0.,-0.)); -#96 = DIRECTION('',(0.,0.,1.)); -#97 = ADVANCED_FACE('',(#98),#114,.F.); -#98 = FACE_BOUND('',#99,.F.); -#99 = EDGE_LOOP('',(#100,#106,#107,#113)); -#100 = ORIENTED_EDGE('',*,*,#101,.F.); -#101 = EDGE_CURVE('',#22,#62,#102,.T.); -#102 = LINE('',#103,#104); -#103 = CARTESIAN_POINT('',(0.,0.,0.)); -#104 = VECTOR('',#105,1.); -#105 = DIRECTION('',(1.,0.,-0.)); -#106 = ORIENTED_EDGE('',*,*,#21,.T.); -#107 = ORIENTED_EDGE('',*,*,#108,.T.); -#108 = EDGE_CURVE('',#24,#64,#109,.T.); -#109 = LINE('',#110,#111); -#110 = CARTESIAN_POINT('',(0.,0.,1.3)); -#111 = VECTOR('',#112,1.); -#112 = DIRECTION('',(1.,0.,-0.)); -#113 = ORIENTED_EDGE('',*,*,#61,.F.); -#114 = PLANE('',#115); -#115 = AXIS2_PLACEMENT_3D('',#116,#117,#118); -#116 = CARTESIAN_POINT('',(0.,0.,0.)); -#117 = DIRECTION('',(-0.,1.,0.)); -#118 = DIRECTION('',(0.,0.,1.)); -#119 = ADVANCED_FACE('',(#120),#136,.T.); -#120 = FACE_BOUND('',#121,.T.); -#121 = EDGE_LOOP('',(#122,#128,#129,#135)); -#122 = ORIENTED_EDGE('',*,*,#123,.F.); -#123 = EDGE_CURVE('',#32,#72,#124,.T.); -#124 = LINE('',#125,#126); -#125 = CARTESIAN_POINT('',(0.,2.9,0.)); -#126 = VECTOR('',#127,1.); -#127 = DIRECTION('',(1.,0.,-0.)); -#128 = ORIENTED_EDGE('',*,*,#39,.T.); -#129 = ORIENTED_EDGE('',*,*,#130,.T.); -#130 = EDGE_CURVE('',#40,#80,#131,.T.); -#131 = LINE('',#132,#133); -#132 = CARTESIAN_POINT('',(0.,2.9,1.3)); -#133 = VECTOR('',#134,1.); -#134 = DIRECTION('',(1.,0.,-0.)); -#135 = ORIENTED_EDGE('',*,*,#79,.F.); -#136 = PLANE('',#137); -#137 = AXIS2_PLACEMENT_3D('',#138,#139,#140); -#138 = CARTESIAN_POINT('',(0.,2.9,0.)); -#139 = DIRECTION('',(-0.,1.,0.)); -#140 = DIRECTION('',(0.,0.,1.)); -#141 = ADVANCED_FACE('',(#142),#148,.F.); -#142 = FACE_BOUND('',#143,.F.); -#143 = EDGE_LOOP('',(#144,#145,#146,#147)); -#144 = ORIENTED_EDGE('',*,*,#31,.F.); -#145 = ORIENTED_EDGE('',*,*,#101,.T.); -#146 = ORIENTED_EDGE('',*,*,#71,.T.); -#147 = ORIENTED_EDGE('',*,*,#123,.F.); -#148 = PLANE('',#149); -#149 = AXIS2_PLACEMENT_3D('',#150,#151,#152); -#150 = CARTESIAN_POINT('',(0.,0.,0.)); -#151 = DIRECTION('',(0.,0.,1.)); -#152 = DIRECTION('',(1.,0.,-0.)); -#153 = ADVANCED_FACE('',(#154),#160,.T.); -#154 = FACE_BOUND('',#155,.T.); -#155 = EDGE_LOOP('',(#156,#157,#158,#159)); -#156 = ORIENTED_EDGE('',*,*,#47,.F.); -#157 = ORIENTED_EDGE('',*,*,#108,.T.); -#158 = ORIENTED_EDGE('',*,*,#87,.T.); -#159 = ORIENTED_EDGE('',*,*,#130,.F.); -#160 = PLANE('',#161); -#161 = AXIS2_PLACEMENT_3D('',#162,#163,#164); -#162 = CARTESIAN_POINT('',(0.,0.,1.3)); -#163 = DIRECTION('',(0.,0.,1.)); -#164 = DIRECTION('',(1.,0.,-0.)); -#165 = ( GEOMETRIC_REPRESENTATION_CONTEXT(3) -GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#169)) GLOBAL_UNIT_ASSIGNED_CONTEXT -((#166,#167,#168)) REPRESENTATION_CONTEXT('Context #1', - '3D Context with UNIT and UNCERTAINTY') ); -#166 = ( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); -#167 = ( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); -#168 = ( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); -#169 = UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(1.E-07),#166, - 'distance_accuracy_value','confusion accuracy'); -#170 = PRODUCT_RELATED_PRODUCT_CATEGORY('part',$,(#7)); -#171 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#172) - ,#165); -#172 = STYLED_ITEM('color',(#173),#15); -#173 = PRESENTATION_STYLE_ASSIGNMENT((#174,#180)); -#174 = SURFACE_STYLE_USAGE(.BOTH.,#175); -#175 = SURFACE_SIDE_STYLE('',(#176)); -#176 = SURFACE_STYLE_FILL_AREA(#177); -#177 = FILL_AREA_STYLE('',(#178)); -#178 = FILL_AREA_STYLE_COLOUR('',#179); -#179 = COLOUR_RGB('',0.23,0.23,0.23); -#180 = CURVE_STYLE('',#181,POSITIVE_LENGTH_MEASURE(0.1),#182); -#181 = DRAUGHTING_PRE_DEFINED_CURVE_FONT('continuous'); -#182 = DRAUGHTING_PRE_DEFINED_COLOUR('black'); -ENDSEC; -END-ISO-10303-21; diff --git a/kicad/qeda.3dshapes/UPM4336X265N.stp b/kicad/qeda.3dshapes/TO254P654X610X239-3N.stp similarity index 83% rename from kicad/qeda.3dshapes/UPM4336X265N.stp rename to kicad/qeda.3dshapes/TO254P654X610X239-3N.stp index 37ed0b9..9721c9b 100644 --- a/kicad/qeda.3dshapes/UPM4336X265N.stp +++ b/kicad/qeda.3dshapes/TO254P654X610X239-3N.stp @@ -1,7 +1,7 @@ ISO-10303-21; HEADER; -FILE_DESCRIPTION(('Simplified model for UPM4336X265N'),'2;1'); -FILE_NAME('UPM4336X265N','1970-01-01T00:00:00.00',(''),(''), +FILE_DESCRIPTION(('Simplified model for TO254P654X610X239-3N'),'2;1'); +FILE_NAME('TO254P654X610X239-3N','1970-01-01T00:00:00.00',(''),(''), 'QEDA','QEDA','Unknown'); FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); ENDSEC; @@ -14,7 +14,7 @@ DATA; #4 = PRODUCT_DEFINITION_SHAPE('','',#5); #5 = PRODUCT_DEFINITION('design','',#6,#9); #6 = PRODUCT_DEFINITION_FORMATION('','',#7); -#7 = PRODUCT('UPM4336X265N','UPM4336X265N','',(#8)); +#7 = PRODUCT('TO254P654X610X239-3N','TO254P654X610X239-3N','',(#8)); #8 = PRODUCT_CONTEXT('',#2,'mechanical'); #9 = PRODUCT_DEFINITION_CONTEXT('part definition',#2,'design'); #10 = ADVANCED_BREP_SHAPE_REPRESENTATION('',(#11,#15),#165); @@ -32,7 +32,7 @@ DATA; #22 = VERTEX_POINT('',#23); #23 = CARTESIAN_POINT('',(0.,0.,0.)); #24 = VERTEX_POINT('',#25); -#25 = CARTESIAN_POINT('',(0.,0.,2.65)); +#25 = CARTESIAN_POINT('',(0.,0.,2.388)); #26 = LINE('',#27,#28); #27 = CARTESIAN_POINT('',(0.,0.,0.)); #28 = VECTOR('',#29,1.); @@ -40,7 +40,7 @@ DATA; #30 = ORIENTED_EDGE('',*,*,#31,.T.); #31 = EDGE_CURVE('',#22,#32,#34,.T.); #32 = VERTEX_POINT('',#33); -#33 = CARTESIAN_POINT('',(0.,4.325,0.)); +#33 = CARTESIAN_POINT('',(0.,6.5405,0.)); #34 = LINE('',#35,#36); #35 = CARTESIAN_POINT('',(0.,0.,0.)); #36 = VECTOR('',#37,1.); @@ -48,15 +48,15 @@ DATA; #38 = ORIENTED_EDGE('',*,*,#39,.T.); #39 = EDGE_CURVE('',#32,#40,#42,.T.); #40 = VERTEX_POINT('',#41); -#41 = CARTESIAN_POINT('',(0.,4.325,2.65)); +#41 = CARTESIAN_POINT('',(0.,6.5405,2.388)); #42 = LINE('',#43,#44); -#43 = CARTESIAN_POINT('',(0.,4.325,0.)); +#43 = CARTESIAN_POINT('',(0.,6.5405,0.)); #44 = VECTOR('',#45,1.); #45 = DIRECTION('',(0.,0.,1.)); #46 = ORIENTED_EDGE('',*,*,#47,.F.); #47 = EDGE_CURVE('',#24,#40,#48,.T.); #48 = LINE('',#49,#50); -#49 = CARTESIAN_POINT('',(0.,0.,2.65)); +#49 = CARTESIAN_POINT('',(0.,0.,2.388)); #50 = VECTOR('',#51,1.); #51 = DIRECTION('',(-0.,1.,0.)); #52 = PLANE('',#53); @@ -70,38 +70,38 @@ DATA; #60 = ORIENTED_EDGE('',*,*,#61,.F.); #61 = EDGE_CURVE('',#62,#64,#66,.T.); #62 = VERTEX_POINT('',#63); -#63 = CARTESIAN_POINT('',(3.625,0.,0.)); +#63 = CARTESIAN_POINT('',(6.096,0.,0.)); #64 = VERTEX_POINT('',#65); -#65 = CARTESIAN_POINT('',(3.625,0.,2.65)); +#65 = CARTESIAN_POINT('',(6.096,0.,2.388)); #66 = LINE('',#67,#68); -#67 = CARTESIAN_POINT('',(3.625,0.,0.)); +#67 = CARTESIAN_POINT('',(6.096,0.,0.)); #68 = VECTOR('',#69,1.); #69 = DIRECTION('',(0.,0.,1.)); #70 = ORIENTED_EDGE('',*,*,#71,.T.); #71 = EDGE_CURVE('',#62,#72,#74,.T.); #72 = VERTEX_POINT('',#73); -#73 = CARTESIAN_POINT('',(3.625,4.325,0.)); +#73 = CARTESIAN_POINT('',(6.096,6.5405,0.)); #74 = LINE('',#75,#76); -#75 = CARTESIAN_POINT('',(3.625,0.,0.)); +#75 = CARTESIAN_POINT('',(6.096,0.,0.)); #76 = VECTOR('',#77,1.); #77 = DIRECTION('',(-0.,1.,0.)); #78 = ORIENTED_EDGE('',*,*,#79,.T.); #79 = EDGE_CURVE('',#72,#80,#82,.T.); #80 = VERTEX_POINT('',#81); -#81 = CARTESIAN_POINT('',(3.625,4.325,2.65)); +#81 = CARTESIAN_POINT('',(6.096,6.5405,2.388)); #82 = LINE('',#83,#84); -#83 = CARTESIAN_POINT('',(3.625,4.325,0.)); +#83 = CARTESIAN_POINT('',(6.096,6.5405,0.)); #84 = VECTOR('',#85,1.); #85 = DIRECTION('',(0.,0.,1.)); #86 = ORIENTED_EDGE('',*,*,#87,.F.); #87 = EDGE_CURVE('',#64,#80,#88,.T.); #88 = LINE('',#89,#90); -#89 = CARTESIAN_POINT('',(3.625,0.,2.65)); +#89 = CARTESIAN_POINT('',(6.096,0.,2.388)); #90 = VECTOR('',#91,1.); #91 = DIRECTION('',(-0.,1.,0.)); #92 = PLANE('',#93); #93 = AXIS2_PLACEMENT_3D('',#94,#95,#96); -#94 = CARTESIAN_POINT('',(3.625,0.,0.)); +#94 = CARTESIAN_POINT('',(6.096,0.,0.)); #95 = DIRECTION('',(1.,0.,-0.)); #96 = DIRECTION('',(0.,0.,1.)); #97 = ADVANCED_FACE('',(#98),#114,.F.); @@ -117,7 +117,7 @@ DATA; #107 = ORIENTED_EDGE('',*,*,#108,.T.); #108 = EDGE_CURVE('',#24,#64,#109,.T.); #109 = LINE('',#110,#111); -#110 = CARTESIAN_POINT('',(0.,0.,2.65)); +#110 = CARTESIAN_POINT('',(0.,0.,2.388)); #111 = VECTOR('',#112,1.); #112 = DIRECTION('',(1.,0.,-0.)); #113 = ORIENTED_EDGE('',*,*,#61,.F.); @@ -132,20 +132,20 @@ DATA; #122 = ORIENTED_EDGE('',*,*,#123,.F.); #123 = EDGE_CURVE('',#32,#72,#124,.T.); #124 = LINE('',#125,#126); -#125 = CARTESIAN_POINT('',(0.,4.325,0.)); +#125 = CARTESIAN_POINT('',(0.,6.5405,0.)); #126 = VECTOR('',#127,1.); #127 = DIRECTION('',(1.,0.,-0.)); #128 = ORIENTED_EDGE('',*,*,#39,.T.); #129 = ORIENTED_EDGE('',*,*,#130,.T.); #130 = EDGE_CURVE('',#40,#80,#131,.T.); #131 = LINE('',#132,#133); -#132 = CARTESIAN_POINT('',(0.,4.325,2.65)); +#132 = CARTESIAN_POINT('',(0.,6.5405,2.388)); #133 = VECTOR('',#134,1.); #134 = DIRECTION('',(1.,0.,-0.)); #135 = ORIENTED_EDGE('',*,*,#79,.F.); #136 = PLANE('',#137); #137 = AXIS2_PLACEMENT_3D('',#138,#139,#140); -#138 = CARTESIAN_POINT('',(0.,4.325,0.)); +#138 = CARTESIAN_POINT('',(0.,6.5405,0.)); #139 = DIRECTION('',(-0.,1.,0.)); #140 = DIRECTION('',(0.,0.,1.)); #141 = ADVANCED_FACE('',(#142),#148,.F.); @@ -169,7 +169,7 @@ DATA; #159 = ORIENTED_EDGE('',*,*,#130,.F.); #160 = PLANE('',#161); #161 = AXIS2_PLACEMENT_3D('',#162,#163,#164); -#162 = CARTESIAN_POINT('',(0.,0.,2.65)); +#162 = CARTESIAN_POINT('',(0.,0.,2.388)); #163 = DIRECTION('',(0.,0.,1.)); #164 = DIRECTION('',(1.,0.,-0.)); #165 = ( GEOMETRIC_REPRESENTATION_CONTEXT(3) diff --git a/kicad/qeda.3dshapes/SENSOR_MP34DT05-A.stp b/kicad/qeda.3dshapes/UPM4326X290N.stp similarity index 84% rename from kicad/qeda.3dshapes/SENSOR_MP34DT05-A.stp rename to kicad/qeda.3dshapes/UPM4326X290N.stp index cec67e9..d66aa81 100644 --- a/kicad/qeda.3dshapes/SENSOR_MP34DT05-A.stp +++ b/kicad/qeda.3dshapes/UPM4326X290N.stp @@ -1,7 +1,7 @@ ISO-10303-21; HEADER; -FILE_DESCRIPTION(('Simplified model for SENSOR_MP34DT05-A'),'2;1'); -FILE_NAME('SENSOR_MP34DT05-A','1970-01-01T00:00:00.00',(''),(''), +FILE_DESCRIPTION(('Simplified model for UPM4326X290N'),'2;1'); +FILE_NAME('UPM4326X290N','1970-01-01T00:00:00.00',(''),(''), 'QEDA','QEDA','Unknown'); FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); ENDSEC; @@ -14,7 +14,7 @@ DATA; #4 = PRODUCT_DEFINITION_SHAPE('','',#5); #5 = PRODUCT_DEFINITION('design','',#6,#9); #6 = PRODUCT_DEFINITION_FORMATION('','',#7); -#7 = PRODUCT('SENSOR_MP34DT05-A','SENSOR_MP34DT05-A','',(#8)); +#7 = PRODUCT('UPM4326X290N','UPM4326X290N','',(#8)); #8 = PRODUCT_CONTEXT('',#2,'mechanical'); #9 = PRODUCT_DEFINITION_CONTEXT('part definition',#2,'design'); #10 = ADVANCED_BREP_SHAPE_REPRESENTATION('',(#11,#15),#165); @@ -32,7 +32,7 @@ DATA; #22 = VERTEX_POINT('',#23); #23 = CARTESIAN_POINT('',(0.,0.,0.)); #24 = VERTEX_POINT('',#25); -#25 = CARTESIAN_POINT('',(0.,0.,1.)); +#25 = CARTESIAN_POINT('',(0.,0.,2.9)); #26 = LINE('',#27,#28); #27 = CARTESIAN_POINT('',(0.,0.,0.)); #28 = VECTOR('',#29,1.); @@ -40,7 +40,7 @@ DATA; #30 = ORIENTED_EDGE('',*,*,#31,.T.); #31 = EDGE_CURVE('',#22,#32,#34,.T.); #32 = VERTEX_POINT('',#33); -#33 = CARTESIAN_POINT('',(0.,4.,0.)); +#33 = CARTESIAN_POINT('',(0.,4.275,0.)); #34 = LINE('',#35,#36); #35 = CARTESIAN_POINT('',(0.,0.,0.)); #36 = VECTOR('',#37,1.); @@ -48,15 +48,15 @@ DATA; #38 = ORIENTED_EDGE('',*,*,#39,.T.); #39 = EDGE_CURVE('',#32,#40,#42,.T.); #40 = VERTEX_POINT('',#41); -#41 = CARTESIAN_POINT('',(0.,4.,1.)); +#41 = CARTESIAN_POINT('',(0.,4.275,2.9)); #42 = LINE('',#43,#44); -#43 = CARTESIAN_POINT('',(0.,4.,0.)); +#43 = CARTESIAN_POINT('',(0.,4.275,0.)); #44 = VECTOR('',#45,1.); #45 = DIRECTION('',(0.,0.,1.)); #46 = ORIENTED_EDGE('',*,*,#47,.F.); #47 = EDGE_CURVE('',#24,#40,#48,.T.); #48 = LINE('',#49,#50); -#49 = CARTESIAN_POINT('',(0.,0.,1.)); +#49 = CARTESIAN_POINT('',(0.,0.,2.9)); #50 = VECTOR('',#51,1.); #51 = DIRECTION('',(-0.,1.,0.)); #52 = PLANE('',#53); @@ -70,38 +70,38 @@ DATA; #60 = ORIENTED_EDGE('',*,*,#61,.F.); #61 = EDGE_CURVE('',#62,#64,#66,.T.); #62 = VERTEX_POINT('',#63); -#63 = CARTESIAN_POINT('',(3.,0.,0.)); +#63 = CARTESIAN_POINT('',(2.6,0.,0.)); #64 = VERTEX_POINT('',#65); -#65 = CARTESIAN_POINT('',(3.,0.,1.)); +#65 = CARTESIAN_POINT('',(2.6,0.,2.9)); #66 = LINE('',#67,#68); -#67 = CARTESIAN_POINT('',(3.,0.,0.)); +#67 = CARTESIAN_POINT('',(2.6,0.,0.)); #68 = VECTOR('',#69,1.); #69 = DIRECTION('',(0.,0.,1.)); #70 = ORIENTED_EDGE('',*,*,#71,.T.); #71 = EDGE_CURVE('',#62,#72,#74,.T.); #72 = VERTEX_POINT('',#73); -#73 = CARTESIAN_POINT('',(3.,4.,0.)); +#73 = CARTESIAN_POINT('',(2.6,4.275,0.)); #74 = LINE('',#75,#76); -#75 = CARTESIAN_POINT('',(3.,0.,0.)); +#75 = CARTESIAN_POINT('',(2.6,0.,0.)); #76 = VECTOR('',#77,1.); #77 = DIRECTION('',(-0.,1.,0.)); #78 = ORIENTED_EDGE('',*,*,#79,.T.); #79 = EDGE_CURVE('',#72,#80,#82,.T.); #80 = VERTEX_POINT('',#81); -#81 = CARTESIAN_POINT('',(3.,4.,1.)); +#81 = CARTESIAN_POINT('',(2.6,4.275,2.9)); #82 = LINE('',#83,#84); -#83 = CARTESIAN_POINT('',(3.,4.,0.)); +#83 = CARTESIAN_POINT('',(2.6,4.275,0.)); #84 = VECTOR('',#85,1.); #85 = DIRECTION('',(0.,0.,1.)); #86 = ORIENTED_EDGE('',*,*,#87,.F.); #87 = EDGE_CURVE('',#64,#80,#88,.T.); #88 = LINE('',#89,#90); -#89 = CARTESIAN_POINT('',(3.,0.,1.)); +#89 = CARTESIAN_POINT('',(2.6,0.,2.9)); #90 = VECTOR('',#91,1.); #91 = DIRECTION('',(-0.,1.,0.)); #92 = PLANE('',#93); #93 = AXIS2_PLACEMENT_3D('',#94,#95,#96); -#94 = CARTESIAN_POINT('',(3.,0.,0.)); +#94 = CARTESIAN_POINT('',(2.6,0.,0.)); #95 = DIRECTION('',(1.,0.,-0.)); #96 = DIRECTION('',(0.,0.,1.)); #97 = ADVANCED_FACE('',(#98),#114,.F.); @@ -117,7 +117,7 @@ DATA; #107 = ORIENTED_EDGE('',*,*,#108,.T.); #108 = EDGE_CURVE('',#24,#64,#109,.T.); #109 = LINE('',#110,#111); -#110 = CARTESIAN_POINT('',(0.,0.,1.)); +#110 = CARTESIAN_POINT('',(0.,0.,2.9)); #111 = VECTOR('',#112,1.); #112 = DIRECTION('',(1.,0.,-0.)); #113 = ORIENTED_EDGE('',*,*,#61,.F.); @@ -132,20 +132,20 @@ DATA; #122 = ORIENTED_EDGE('',*,*,#123,.F.); #123 = EDGE_CURVE('',#32,#72,#124,.T.); #124 = LINE('',#125,#126); -#125 = CARTESIAN_POINT('',(0.,4.,0.)); +#125 = CARTESIAN_POINT('',(0.,4.275,0.)); #126 = VECTOR('',#127,1.); #127 = DIRECTION('',(1.,0.,-0.)); #128 = ORIENTED_EDGE('',*,*,#39,.T.); #129 = ORIENTED_EDGE('',*,*,#130,.T.); #130 = EDGE_CURVE('',#40,#80,#131,.T.); #131 = LINE('',#132,#133); -#132 = CARTESIAN_POINT('',(0.,4.,1.)); +#132 = CARTESIAN_POINT('',(0.,4.275,2.9)); #133 = VECTOR('',#134,1.); #134 = DIRECTION('',(1.,0.,-0.)); #135 = ORIENTED_EDGE('',*,*,#79,.F.); #136 = PLANE('',#137); #137 = AXIS2_PLACEMENT_3D('',#138,#139,#140); -#138 = CARTESIAN_POINT('',(0.,4.,0.)); +#138 = CARTESIAN_POINT('',(0.,4.275,0.)); #139 = DIRECTION('',(-0.,1.,0.)); #140 = DIRECTION('',(0.,0.,1.)); #141 = ADVANCED_FACE('',(#142),#148,.F.); @@ -169,7 +169,7 @@ DATA; #159 = ORIENTED_EDGE('',*,*,#130,.F.); #160 = PLANE('',#161); #161 = AXIS2_PLACEMENT_3D('',#162,#163,#164); -#162 = CARTESIAN_POINT('',(0.,0.,1.)); +#162 = CARTESIAN_POINT('',(0.,0.,2.9)); #163 = DIRECTION('',(0.,0.,1.)); #164 = DIRECTION('',(1.,0.,-0.)); #165 = ( GEOMETRIC_REPRESENTATION_CONTEXT(3) diff --git a/kicad/qeda.3dshapes/VREG_JK60-110.stp b/kicad/qeda.3dshapes/VREG_JK60-090.stp similarity index 86% rename from kicad/qeda.3dshapes/VREG_JK60-110.stp rename to kicad/qeda.3dshapes/VREG_JK60-090.stp index 8175723..87a4530 100644 --- a/kicad/qeda.3dshapes/VREG_JK60-110.stp +++ b/kicad/qeda.3dshapes/VREG_JK60-090.stp @@ -1,7 +1,7 @@ ISO-10303-21; HEADER; -FILE_DESCRIPTION(('Simplified model for VREG_JK60-110'),'2;1'); -FILE_NAME('VREG_JK60-110','1970-01-01T00:00:00.00',(''),(''), +FILE_DESCRIPTION(('Simplified model for VREG_JK60-090'),'2;1'); +FILE_NAME('VREG_JK60-090','1970-01-01T00:00:00.00',(''),(''), 'QEDA','QEDA','Unknown'); FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); ENDSEC; @@ -14,7 +14,7 @@ DATA; #4 = PRODUCT_DEFINITION_SHAPE('','',#5); #5 = PRODUCT_DEFINITION('design','',#6,#9); #6 = PRODUCT_DEFINITION_FORMATION('','',#7); -#7 = PRODUCT('VREG_JK60-110','VREG_JK60-110','',(#8)); +#7 = PRODUCT('VREG_JK60-090','VREG_JK60-090','',(#8)); #8 = PRODUCT_CONTEXT('',#2,'mechanical'); #9 = PRODUCT_DEFINITION_CONTEXT('part definition',#2,'design'); #10 = ADVANCED_BREP_SHAPE_REPRESENTATION('',(#11,#15),#165); @@ -32,7 +32,7 @@ DATA; #22 = VERTEX_POINT('',#23); #23 = CARTESIAN_POINT('',(0.,0.,0.)); #24 = VERTEX_POINT('',#25); -#25 = CARTESIAN_POINT('',(0.,0.,18.)); +#25 = CARTESIAN_POINT('',(0.,0.,18.4)); #26 = LINE('',#27,#28); #27 = CARTESIAN_POINT('',(0.,0.,0.)); #28 = VECTOR('',#29,1.); @@ -48,7 +48,7 @@ DATA; #38 = ORIENTED_EDGE('',*,*,#39,.T.); #39 = EDGE_CURVE('',#32,#40,#42,.T.); #40 = VERTEX_POINT('',#41); -#41 = CARTESIAN_POINT('',(0.,3.,18.)); +#41 = CARTESIAN_POINT('',(0.,3.,18.4)); #42 = LINE('',#43,#44); #43 = CARTESIAN_POINT('',(0.,3.,0.)); #44 = VECTOR('',#45,1.); @@ -56,7 +56,7 @@ DATA; #46 = ORIENTED_EDGE('',*,*,#47,.F.); #47 = EDGE_CURVE('',#24,#40,#48,.T.); #48 = LINE('',#49,#50); -#49 = CARTESIAN_POINT('',(0.,0.,18.)); +#49 = CARTESIAN_POINT('',(0.,0.,18.4)); #50 = VECTOR('',#51,1.); #51 = DIRECTION('',(-0.,1.,0.)); #52 = PLANE('',#53); @@ -70,38 +70,38 @@ DATA; #60 = ORIENTED_EDGE('',*,*,#61,.F.); #61 = EDGE_CURVE('',#62,#64,#66,.T.); #62 = VERTEX_POINT('',#63); -#63 = CARTESIAN_POINT('',(13.,0.,0.)); +#63 = CARTESIAN_POINT('',(11.7,0.,0.)); #64 = VERTEX_POINT('',#65); -#65 = CARTESIAN_POINT('',(13.,0.,18.)); +#65 = CARTESIAN_POINT('',(11.7,0.,18.4)); #66 = LINE('',#67,#68); -#67 = CARTESIAN_POINT('',(13.,0.,0.)); +#67 = CARTESIAN_POINT('',(11.7,0.,0.)); #68 = VECTOR('',#69,1.); #69 = DIRECTION('',(0.,0.,1.)); #70 = ORIENTED_EDGE('',*,*,#71,.T.); #71 = EDGE_CURVE('',#62,#72,#74,.T.); #72 = VERTEX_POINT('',#73); -#73 = CARTESIAN_POINT('',(13.,3.,0.)); +#73 = CARTESIAN_POINT('',(11.7,3.,0.)); #74 = LINE('',#75,#76); -#75 = CARTESIAN_POINT('',(13.,0.,0.)); +#75 = CARTESIAN_POINT('',(11.7,0.,0.)); #76 = VECTOR('',#77,1.); #77 = DIRECTION('',(-0.,1.,0.)); #78 = ORIENTED_EDGE('',*,*,#79,.T.); #79 = EDGE_CURVE('',#72,#80,#82,.T.); #80 = VERTEX_POINT('',#81); -#81 = CARTESIAN_POINT('',(13.,3.,18.)); +#81 = CARTESIAN_POINT('',(11.7,3.,18.4)); #82 = LINE('',#83,#84); -#83 = CARTESIAN_POINT('',(13.,3.,0.)); +#83 = CARTESIAN_POINT('',(11.7,3.,0.)); #84 = VECTOR('',#85,1.); #85 = DIRECTION('',(0.,0.,1.)); #86 = ORIENTED_EDGE('',*,*,#87,.F.); #87 = EDGE_CURVE('',#64,#80,#88,.T.); #88 = LINE('',#89,#90); -#89 = CARTESIAN_POINT('',(13.,0.,18.)); +#89 = CARTESIAN_POINT('',(11.7,0.,18.4)); #90 = VECTOR('',#91,1.); #91 = DIRECTION('',(-0.,1.,0.)); #92 = PLANE('',#93); #93 = AXIS2_PLACEMENT_3D('',#94,#95,#96); -#94 = CARTESIAN_POINT('',(13.,0.,0.)); +#94 = CARTESIAN_POINT('',(11.7,0.,0.)); #95 = DIRECTION('',(1.,0.,-0.)); #96 = DIRECTION('',(0.,0.,1.)); #97 = ADVANCED_FACE('',(#98),#114,.F.); @@ -117,7 +117,7 @@ DATA; #107 = ORIENTED_EDGE('',*,*,#108,.T.); #108 = EDGE_CURVE('',#24,#64,#109,.T.); #109 = LINE('',#110,#111); -#110 = CARTESIAN_POINT('',(0.,0.,18.)); +#110 = CARTESIAN_POINT('',(0.,0.,18.4)); #111 = VECTOR('',#112,1.); #112 = DIRECTION('',(1.,0.,-0.)); #113 = ORIENTED_EDGE('',*,*,#61,.F.); @@ -139,7 +139,7 @@ DATA; #129 = ORIENTED_EDGE('',*,*,#130,.T.); #130 = EDGE_CURVE('',#40,#80,#131,.T.); #131 = LINE('',#132,#133); -#132 = CARTESIAN_POINT('',(0.,3.,18.)); +#132 = CARTESIAN_POINT('',(0.,3.,18.4)); #133 = VECTOR('',#134,1.); #134 = DIRECTION('',(1.,0.,-0.)); #135 = ORIENTED_EDGE('',*,*,#79,.F.); @@ -169,7 +169,7 @@ DATA; #159 = ORIENTED_EDGE('',*,*,#130,.F.); #160 = PLANE('',#161); #161 = AXIS2_PLACEMENT_3D('',#162,#163,#164); -#162 = CARTESIAN_POINT('',(0.,0.,18.)); +#162 = CARTESIAN_POINT('',(0.,0.,18.4)); #163 = DIRECTION('',(0.,0.,1.)); #164 = DIRECTION('',(1.,0.,-0.)); #165 = ( GEOMETRIC_REPRESENTATION_CONTEXT(3) diff --git a/kicad/qeda.kicad_sym b/kicad/qeda.kicad_sym index 9e39daf..f9b36a8 100644 --- a/kicad/qeda.kicad_sym +++ b/kicad/qeda.kicad_sym @@ -149,7 +149,7 @@ (property "Value" "SCHOTTKY" (at 0.000 -2.032 0) (effects (font (size 1.27 1.27)) (justify top)) ) - (property "Footprint" "qeda:UPM4336X265N" (at 0 0 0) + (property "Footprint" "qeda:UPM4326X290N" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "~" (at 0 0 0) @@ -889,14 +889,14 @@ ) ) ) - (symbol "JK60-110" (pin_numbers hide) (pin_names hide) (in_bom yes) (on_board yes) + (symbol "JK60-090" (pin_numbers hide) (pin_names hide) (in_bom yes) (on_board yes) (property "Reference" "F" (at 0.000 1.778 0) (effects (font (size 1.27 1.27)) (justify bottom)) ) - (property "Value" "JK60-110" (at 0.000 -1.778 0) + (property "Value" "JK60-090" (at 0.000 -1.778 0) (effects (font (size 1.27 1.27)) (justify top)) ) - (property "Footprint" "qeda:VREG_JK60-110" (at 0 0 0) + (property "Footprint" "qeda:VREG_JK60-090" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "https://datasheet.lcsc.com/lcsc/2304140030_Jinrui-Electronic-Materials-Co--JK60-110_C369088.pdf" (at 0 0 0) @@ -905,7 +905,7 @@ (property "ki_description" "PPTC resettable fuse" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (symbol "JK60-110_1_1" + (symbol "JK60-090_1_1" (rectangle (start -2.540 1.016) (end 2.540 -1.016) (stroke (width 0.305) (type default)) (fill (type background)) @@ -1777,4 +1777,213 @@ ) ) ) + (symbol "CAP_RAD_8x12" (pin_numbers hide) (pin_names hide) (in_bom yes) (on_board yes) + (property "Reference" "C" (at 0.000 2.794 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Value" "CAP_RAD_8x12" (at 0.000 -2.794 0) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Footprint" "qeda:CAPPRD350W50D800H1200N" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "AEC, radial" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "capacitor, aluminum electrolytic capacitor, radial, through hole" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "CAP_RAD_8x12_1_1" + (polyline + (pts + (xy -0.381 2.032) + (xy -0.381 -2.032) + ) + (stroke (width 0.305) (type default)) + (fill (type none)) + ) + (arc (start 0.857 2.032) (mid 0.381 0.000) (end 0.857 -2.032) + (stroke (width 0.305) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.905 1.016) + (xy -0.889 1.016) + ) + (stroke (width 0.102) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.397 1.524) + (xy -1.397 0.508) + ) + (stroke (width 0.102) (type default)) + (fill (type none)) + ) + (pin passive line (at -2.540 0.000 0) (length 2.159) + (name "L" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.540 0.000 180) (length 2.159) + (name "R" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "LMR16030" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0.000 0.762 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Value" "LMR16030" (at 13.462 -16.002 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (property "Footprint" "qeda:SOP127P600X170-9N" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/gpn/lmr16030" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "voltage regulator, step-down" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LMR16030_1_1" + (rectangle (start 0.000 0.000) (end 20.320 -15.240) + (stroke (width 0.305) (type default)) + (fill (type background)) + ) + (pin input line (at -5.080 -2.540 0) (length 5.080) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -5.080 -7.620 0) (length 5.080) + (name "RT/SYNC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -5.080 -12.700 0) (length 5.080) + (name "PGOOD" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 25.400 -2.540 180) (length 5.080) + (name "BOOT" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 25.400 -7.620 180) (length 5.080) + (name "SW" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 25.400 -12.700 180) (length 5.080) + (name "FB" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.430 5.080 270) (length 5.080) + (name "VIN" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.160 -20.320 90) (length 5.080) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.700 -20.320 90) (length 5.080) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SCHOTTKY_D-PAK" (pin_names hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (at 0.000 2.032 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Value" "SCHOTTKY_D-PAK" (at 0.000 -2.032 0) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Footprint" "qeda:TO254P654X610X239-3N" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "diode, schottky, generic" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SCHOTTKY_D-PAK_1_1" + (polyline + (pts (xy -1.016 1.270) (xy 1.016 0.000) (xy -1.016 -1.270) (xy -1.016 1.270)) + (stroke (width 0.305) (type default)) + (fill (type background)) + ) + (polyline + (pts + (xy 1.016 1.270) + (xy 1.016 -1.270) + ) + (stroke (width 0.305) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.016 -1.270) + (xy 0.508 -1.270) + ) + (stroke (width 0.305) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.508 -1.270) + (xy 0.508 -0.762) + ) + (stroke (width 0.305) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.016 1.270) + (xy 1.524 1.270) + ) + (stroke (width 0.305) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.524 1.270) + (xy 1.524 0.762) + ) + (stroke (width 0.305) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.810 0.000) + (xy -1.016 0.000) + ) + (stroke (width 0.305) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.810 1.270) + (xy -3.810 -1.270) + ) + (stroke (width 0.305) (type default)) + (fill (type none)) + ) + (pin passive line (at -6.350 1.270 0) (length 2.540) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -6.350 -1.270 0) (length 2.540) + (name "A" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 3.810 0.000 180) (length 2.794) + (name "C" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) ) diff --git a/kicad/qeda.pretty/CAPPRD350W50D800H1200N.kicad_mod b/kicad/qeda.pretty/CAPPRD350W50D800H1200N.kicad_mod new file mode 100644 index 0000000..8805e10 --- /dev/null +++ b/kicad/qeda.pretty/CAPPRD350W50D800H1200N.kicad_mod @@ -0,0 +1,29 @@ +(module CAPPRD350W50D800H1200N (layer F.Cu) + (pad 1 thru_hole rect (at 0.000 -1.750) (size 1.100 1.100) (layers F.Cu F.Mask *.Cu B.Cu B.Mask) + (drill 0.700) + (solder_mask_margin 0.050)) + (pad 2 thru_hole circle (at 0.000 1.750) (size 1.100 1.100) (layers F.Cu F.Mask *.Cu B.Cu B.Mask) + (drill 0.700) + (solder_mask_margin 0.050)) + (fp_text reference REF** (at 0.000 0.000) (layer F.SilkS) + (effects (font (size 1.200 1.200) (thickness 0.200))) + ) + (fp_circle (center 0.000 0.000) (end 0.000 4.100) (layer F.SilkS) (width 0.200)) + (fp_rect (start -0.850 -4.100) (end 0.850 -0.900) (layer F.SilkS) (width 0.200)) + (fp_circle (center 0.000 0.000) (end 0.000 0.500) (layer F.Fab) (width 0.100)) + (fp_line (start -0.700 0.000) (end 0.700 0.000) (layer F.Fab) (width 0.100)) + (fp_line (start 0.000 -0.700) (end 0.000 0.700) (layer F.Fab) (width 0.100)) + (fp_text value CAPPRD350W50D800H1200N (at 0.000 0.000 0) (layer F.Fab) + (effects (font (size 1.000 1.000) (thickness 0.100))) + ) + (fp_text user REF** (at 0.000 0.000 0) hide (layer F.Fab) + (effects (font (size 1.000 1.000) (thickness 0.100))) + ) + (fp_circle (center 0.000 0.000) (end 0.000 4.000) (layer F.Fab) (width 0.100)) + (fp_circle (center 0.000 0.000) (end 0.000 4.250) (layer F.CrtYd) (width 0.050)) + (model ../qeda.3dshapes/CAPPRD350W50D800H1200N.stp + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0 )) + ) +) diff --git a/kicad/qeda.pretty/CONNECTOR_MAX7620-7.62-02P.kicad_mod b/kicad/qeda.pretty/CONNECTOR_MAX7620-7.62-02P.kicad_mod deleted file mode 100644 index f7171dc..0000000 --- a/kicad/qeda.pretty/CONNECTOR_MAX7620-7.62-02P.kicad_mod +++ /dev/null @@ -1,33 +0,0 @@ -(module CONNECTOR_MAX7620-7.62-02P (layer F.Cu) - (pad 1 thru_hole circle (at -3.810 -3.800) (size 2.250 2.250) (layers F.Cu F.Mask *.Cu B.Cu B.Mask) - (drill 1.500) - (solder_mask_margin 0.050)) - (pad 2 thru_hole circle (at 3.810 -3.800) (size 2.250 2.250) (layers F.Cu F.Mask *.Cu B.Cu B.Mask) - (drill 1.500) - (solder_mask_margin 0.050)) - (fp_text reference REF** (at 0.000 0.000) (layer F.SilkS) - (effects (font (size 1.200 1.200) (thickness 0.200))) - ) - (fp_line (start 8.620 3.300) (end 8.620 4.300) (layer F.SilkS) (width 0.200)) - (fp_line (start 8.620 -4.300) (end 8.620 -3.300) (layer F.SilkS) (width 0.200)) - (fp_line (start -7.720 -6.150) (end 7.720 -6.150) (layer F.SilkS) (width 0.200)) - (fp_line (start 7.720 -6.150) (end 7.720 6.150) (layer F.SilkS) (width 0.200)) - (fp_line (start 7.720 6.150) (end -7.720 6.150) (layer F.SilkS) (width 0.200)) - (fp_line (start -7.720 6.150) (end -7.720 -6.150) (layer F.SilkS) (width 0.200)) - (fp_circle (center 0.000 0.000) (end 0.000 0.500) (layer F.Fab) (width 0.100)) - (fp_line (start -0.700 0.000) (end 0.700 0.000) (layer F.Fab) (width 0.100)) - (fp_line (start 0.000 -0.700) (end 0.000 0.700) (layer F.Fab) (width 0.100)) - (fp_text value CONNECTOR_MAX7620-7.62-02P (at 0.000 0.000 0) (layer F.Fab) - (effects (font (size 1.000 1.000) (thickness 0.100))) - ) - (fp_text user REF** (at 0.000 0.000 0) hide (layer F.Fab) - (effects (font (size 1.000 1.000) (thickness 0.100))) - ) - (fp_rect (start -7.620 -6.050) (end 7.620 6.050) (layer F.Fab) (width 0.100)) - (fp_rect (start -7.870 -6.300) (end 7.870 6.300) (layer F.CrtYd) (width 0.050)) - (model ../qeda.3dshapes/CONNECTOR_MAX7620-7.62-02P.stp - (at (xyz 0 0.16929133858267717 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) - ) -) diff --git a/kicad/qeda.pretty/SOP100P615X140-10N.kicad_mod b/kicad/qeda.pretty/SOP100P615X140-10N.kicad_mod deleted file mode 100644 index f834689..0000000 --- a/kicad/qeda.pretty/SOP100P615X140-10N.kicad_mod +++ /dev/null @@ -1,61 +0,0 @@ -(module SOP100P615X140-10N (layer F.Cu) - (attr smd) - (pad 1 smd rect (at -2.800 -2.000) (size 1.450 0.600) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (pad 2 smd rect (at -2.800 -1.000) (size 1.450 0.600) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (pad 3 smd rect (at -2.800 0.000) (size 1.450 0.600) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (pad 4 smd rect (at -2.800 1.000) (size 1.450 0.600) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (pad 5 smd rect (at -2.800 2.000) (size 1.450 0.600) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (pad 10 smd rect (at 2.800 -2.000) (size 1.450 0.600) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (pad 9 smd rect (at 2.800 -1.000) (size 1.450 0.600) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (pad 8 smd rect (at 2.800 0.000) (size 1.450 0.600) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (pad 7 smd rect (at 2.800 1.000) (size 1.450 0.600) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (pad 6 smd rect (at 2.800 2.000) (size 1.450 0.600) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (fp_text reference REF** (at 0.000 0.000) (layer F.SilkS) - (effects (font (size 1.200 1.200) (thickness 0.200))) - ) - (fp_line (start -2.050 -2.600) (end 2.050 -2.600) (layer F.SilkS) (width 0.200)) - (fp_line (start 2.050 2.600) (end -2.050 2.600) (layer F.SilkS) (width 0.200)) - (fp_line (start -2.050 -2.600) (end -3.825 -2.600) (layer F.SilkS) (width 0.200)) - (fp_line (start -3.825 -2.600) (end -3.825 -1.700) (layer F.SilkS) (width 0.200)) - (fp_circle (center 0.000 0.000) (end 0.000 0.500) (layer F.Fab) (width 0.100)) - (fp_line (start -0.700 0.000) (end 0.700 0.000) (layer F.Fab) (width 0.100)) - (fp_line (start 0.000 -0.700) (end 0.000 0.700) (layer F.Fab) (width 0.100)) - (fp_text value SOP100P615X140-10N (at 0.000 0.000 90) (layer F.Fab) - (effects (font (size 1.000 1.000) (thickness 0.100))) - ) - (fp_text user REF** (at 0.000 0.000 90) hide (layer F.Fab) - (effects (font (size 1.000 1.000) (thickness 0.100))) - ) - (fp_line (start -0.950 -2.450) (end 1.950 -2.450) (layer F.Fab) (width 0.100)) - (fp_line (start 1.950 -2.450) (end 1.950 2.450) (layer F.Fab) (width 0.100)) - (fp_line (start 1.950 2.450) (end -1.950 2.450) (layer F.Fab) (width 0.100)) - (fp_line (start -1.950 2.450) (end -1.950 -1.450) (layer F.Fab) (width 0.100)) - (fp_line (start -1.950 -1.450) (end -0.950 -2.450) (layer F.Fab) (width 0.100)) - (fp_line (start -3.775 -2.550) (end -2.200 -2.550) (layer F.CrtYd) (width 0.050)) - (fp_line (start -2.200 -2.550) (end -2.200 -2.700) (layer F.CrtYd) (width 0.050)) - (fp_line (start -2.200 -2.700) (end 2.200 -2.700) (layer F.CrtYd) (width 0.050)) - (fp_line (start 2.200 -2.700) (end 2.200 -2.550) (layer F.CrtYd) (width 0.050)) - (fp_line (start 2.200 -2.550) (end 3.775 -2.550) (layer F.CrtYd) (width 0.050)) - (fp_line (start 3.775 -2.550) (end 3.775 2.550) (layer F.CrtYd) (width 0.050)) - (fp_line (start 3.775 2.550) (end 2.200 2.550) (layer F.CrtYd) (width 0.050)) - (fp_line (start 2.200 2.550) (end 2.200 2.700) (layer F.CrtYd) (width 0.050)) - (fp_line (start 2.200 2.700) (end -2.200 2.700) (layer F.CrtYd) (width 0.050)) - (fp_line (start -2.200 2.700) (end -2.200 2.550) (layer F.CrtYd) (width 0.050)) - (fp_line (start -2.200 2.550) (end -3.775 2.550) (layer F.CrtYd) (width 0.050)) - (fp_line (start -3.775 2.550) (end -3.775 -2.550) (layer F.CrtYd) (width 0.050)) - (model ../qeda.3dshapes/SOP100P615X140-10N.stp - (at (xyz -0.07677165354330709 -0.09645669291338584 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0 )) - ) -) diff --git a/kicad/qeda.pretty/SOT95P270X130-5N.kicad_mod b/kicad/qeda.pretty/SOT95P270X130-5N.kicad_mod deleted file mode 100644 index 53d650f..0000000 --- a/kicad/qeda.pretty/SOT95P270X130-5N.kicad_mod +++ /dev/null @@ -1,52 +0,0 @@ -(module SOT95P270X130-5N (layer F.Cu) - (attr smd) - (pad 1 smd rect (at -1.350 -0.950) (size 1.225 0.600) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (pad 2 smd rect (at -1.350 0.000) (size 1.225 0.600) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (pad 3 smd rect (at -1.350 0.950) (size 1.225 0.600) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (pad 4 smd rect (at 1.350 0.950) (size 1.225 0.600) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (pad 5 smd rect (at 1.350 -0.950) (size 1.225 0.600) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (fp_text reference REF** (at 0.000 0.000) (layer F.SilkS) - (effects (font (size 1.200 1.200) (thickness 0.200))) - ) - (fp_line (start -0.875 -1.550) (end 0.875 -1.550) (layer F.SilkS) (width 0.200)) - (fp_line (start 0.875 -0.350) (end 0.875 0.350) (layer F.SilkS) (width 0.200)) - (fp_line (start 0.875 1.550) (end -0.875 1.550) (layer F.SilkS) (width 0.200)) - (fp_line (start -0.875 -1.550) (end -2.263 -1.550) (layer F.SilkS) (width 0.200)) - (fp_line (start -2.263 -1.550) (end -2.263 -0.650) (layer F.SilkS) (width 0.200)) - (fp_circle (center 0.000 0.000) (end 0.000 0.500) (layer F.Fab) (width 0.100)) - (fp_line (start -0.700 0.000) (end 0.700 0.000) (layer F.Fab) (width 0.100)) - (fp_line (start 0.000 -0.700) (end 0.000 0.700) (layer F.Fab) (width 0.100)) - (fp_text value SOT95P270X130-5N (at 0.000 0.000 90) (layer F.Fab) - (effects (font (size 1.000 1.000) (thickness 0.100))) - ) - (fp_text user REF** (at 0.000 0.000 90) hide (layer F.Fab) - (effects (font (size 1.000 1.000) (thickness 0.100))) - ) - (fp_line (start 0.000 -1.450) (end 0.775 -1.450) (layer F.Fab) (width 0.100)) - (fp_line (start 0.775 -1.450) (end 0.775 1.450) (layer F.Fab) (width 0.100)) - (fp_line (start 0.775 1.450) (end -0.775 1.450) (layer F.Fab) (width 0.100)) - (fp_line (start -0.775 1.450) (end -0.775 -0.675) (layer F.Fab) (width 0.100)) - (fp_line (start -0.775 -0.675) (end 0.000 -1.450) (layer F.Fab) (width 0.100)) - (fp_line (start -2.213 -1.500) (end -1.025 -1.500) (layer F.CrtYd) (width 0.050)) - (fp_line (start -1.025 -1.500) (end -1.025 -1.700) (layer F.CrtYd) (width 0.050)) - (fp_line (start -1.025 -1.700) (end 1.025 -1.700) (layer F.CrtYd) (width 0.050)) - (fp_line (start 1.025 -1.700) (end 1.025 -1.500) (layer F.CrtYd) (width 0.050)) - (fp_line (start 1.025 -1.500) (end 2.213 -1.500) (layer F.CrtYd) (width 0.050)) - (fp_line (start 2.213 -1.500) (end 2.213 1.500) (layer F.CrtYd) (width 0.050)) - (fp_line (start 2.213 1.500) (end 1.025 1.500) (layer F.CrtYd) (width 0.050)) - (fp_line (start 1.025 1.500) (end 1.025 1.700) (layer F.CrtYd) (width 0.050)) - (fp_line (start 1.025 1.700) (end -1.025 1.700) (layer F.CrtYd) (width 0.050)) - (fp_line (start -1.025 1.700) (end -1.025 1.500) (layer F.CrtYd) (width 0.050)) - (fp_line (start -1.025 1.500) (end -2.213 1.500) (layer F.CrtYd) (width 0.050)) - (fp_line (start -2.213 1.500) (end -2.213 -1.500) (layer F.CrtYd) (width 0.050)) - (model ../qeda.3dshapes/SOT95P270X130-5N.stp - (at (xyz -0.03051181102362205 -0.057086614173228356 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0 )) - ) -) diff --git a/kicad/qeda.pretty/TO254P654X610X239-3N.kicad_mod b/kicad/qeda.pretty/TO254P654X610X239-3N.kicad_mod new file mode 100644 index 0000000..73920a7 --- /dev/null +++ b/kicad/qeda.pretty/TO254P654X610X239-3N.kicad_mod @@ -0,0 +1,54 @@ +(module TO254P654X610X239-3N (layer F.Cu) + (attr smd) + (pad 1 smd rect (at -4.150 -2.540) (size 2.850 1.000) (layers F.Cu F.Mask F.Paste) + (solder_mask_margin 0.050)) + (pad 2 smd rect (at -4.150 0.000) (size 2.850 1.000) (layers F.Cu F.Mask F.Paste) + (solder_mask_margin 0.050)) + (pad 3 smd rect (at -4.150 2.540) (size 2.850 1.000) (layers F.Cu F.Mask F.Paste) + (solder_mask_margin 0.050)) + (pad 4 smd rect (at 2.350 0.000) (size 6.400 5.550) (layers F.Cu F.Mask F.Paste) + (solder_mask_margin 0.050)) + (fp_text reference REF** (at 0.000 0.000) (layer F.SilkS) + (effects (font (size 1.200 1.200) (thickness 0.200))) + ) + (fp_line (start -2.323 -3.370) (end 3.973 -3.370) (layer F.SilkS) (width 0.200)) + (fp_line (start 3.973 -3.370) (end 3.973 -3.075) (layer F.SilkS) (width 0.200)) + (fp_line (start 3.973 3.075) (end 3.973 3.370) (layer F.SilkS) (width 0.200)) + (fp_line (start 3.973 3.370) (end -2.323 3.370) (layer F.SilkS) (width 0.200)) + (fp_line (start -2.323 3.370) (end -2.323 -3.370) (layer F.SilkS) (width 0.200)) + (fp_line (start -2.323 -3.340) (end -5.875 -3.340) (layer F.SilkS) (width 0.200)) + (fp_line (start -5.875 -3.340) (end -5.875 -2.040) (layer F.SilkS) (width 0.200)) + (fp_circle (center 0.000 0.000) (end 0.000 0.500) (layer F.Fab) (width 0.100)) + (fp_line (start -0.700 0.000) (end 0.700 0.000) (layer F.Fab) (width 0.100)) + (fp_line (start 0.000 -0.700) (end 0.000 0.700) (layer F.Fab) (width 0.100)) + (fp_text value TO254P654X610X239-3N (at 0.000 0.000 90) (layer F.Fab) + (effects (font (size 1.000 1.000) (thickness 0.100))) + ) + (fp_text user REF** (at 0.000 0.000 90) hide (layer F.Fab) + (effects (font (size 1.000 1.000) (thickness 0.100))) + ) + (fp_rect (start 3.873 -3.270) (end -2.223 3.270) (layer F.Fab) (width 0.100)) + (fp_rect (start 3.873 -2.604) (end 4.953 2.604) (layer F.Fab) (width 0.100)) + (fp_line (start -4.953 -2.540) (end -2.223 -2.540) (layer F.Fab) (width 0.100)) + (fp_line (start -4.953 0.000) (end -2.223 0.000) (layer F.Fab) (width 0.100)) + (fp_line (start -4.953 2.540) (end -2.223 2.540) (layer F.Fab) (width 0.100)) + (fp_line (start -5.825 -3.290) (end -2.473 -3.290) (layer F.CrtYd) (width 0.050)) + (fp_line (start -2.473 -3.290) (end -2.473 -3.520) (layer F.CrtYd) (width 0.050)) + (fp_line (start -2.473 -3.520) (end -1.100 -3.520) (layer F.CrtYd) (width 0.050)) + (fp_line (start -1.100 -3.520) (end 4.123 -3.520) (layer F.CrtYd) (width 0.050)) + (fp_line (start 4.123 -3.520) (end 4.123 -3.025) (layer F.CrtYd) (width 0.050)) + (fp_line (start 4.123 -3.025) (end 5.800 -3.025) (layer F.CrtYd) (width 0.050)) + (fp_line (start 5.800 -3.025) (end 5.800 3.025) (layer F.CrtYd) (width 0.050)) + (fp_line (start 5.800 3.025) (end 4.123 3.025) (layer F.CrtYd) (width 0.050)) + (fp_line (start 4.123 3.025) (end 4.123 3.520) (layer F.CrtYd) (width 0.050)) + (fp_line (start 4.123 3.520) (end -1.100 3.520) (layer F.CrtYd) (width 0.050)) + (fp_line (start -1.100 3.520) (end -2.473 3.520) (layer F.CrtYd) (width 0.050)) + (fp_line (start -2.473 3.520) (end -2.473 3.290) (layer F.CrtYd) (width 0.050)) + (fp_line (start -2.473 3.290) (end -5.825 3.290) (layer F.CrtYd) (width 0.050)) + (fp_line (start -5.825 3.290) (end -5.825 -3.290) (layer F.CrtYd) (width 0.050)) + (model ../qeda.3dshapes/TO254P654X610X239-3N.stp + (at (xyz -0.12000000000000001 -0.12875 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0 )) + ) +) diff --git a/kicad/qeda.pretty/UPM4326X290N.kicad_mod b/kicad/qeda.pretty/UPM4326X290N.kicad_mod new file mode 100644 index 0000000..4257d08 --- /dev/null +++ b/kicad/qeda.pretty/UPM4326X290N.kicad_mod @@ -0,0 +1,50 @@ +(module UPM4326X290N (layer F.Cu) + (attr smd) + (pad 1 smd rect (at 0.000 -1.750) (size 1.550 2.400) (layers F.Cu F.Mask F.Paste) + (solder_mask_margin 0.050)) + (pad 2 smd rect (at 0.000 1.750) (size 1.550 2.400) (layers F.Cu F.Mask F.Paste) + (solder_mask_margin 0.050)) + (fp_text reference REF** (at 0.000 0.000) (layer F.SilkS) + (effects (font (size 1.200 1.200) (thickness 0.200))) + ) + (fp_line (start -1.400 -2.238) (end -1.400 2.238) (layer F.SilkS) (width 0.200)) + (fp_line (start 1.400 -2.238) (end 1.400 2.238) (layer F.SilkS) (width 0.200)) + (fp_line (start -1.075 -2.238) (end -1.400 -2.238) (layer F.SilkS) (width 0.200)) + (fp_line (start -1.075 2.238) (end -1.400 2.238) (layer F.SilkS) (width 0.200)) + (fp_line (start 1.075 -2.238) (end 1.400 -2.238) (layer F.SilkS) (width 0.200)) + (fp_line (start 1.075 2.238) (end 1.400 2.238) (layer F.SilkS) (width 0.200)) + (fp_line (start -1.075 -2.238) (end -1.075 -3.250) (layer F.SilkS) (width 0.200)) + (fp_line (start -1.075 -3.250) (end 1.075 -3.250) (layer F.SilkS) (width 0.200)) + (fp_line (start 1.075 -3.250) (end 1.075 -2.238) (layer F.SilkS) (width 0.200)) + (fp_circle (center 0.000 0.000) (end 0.000 0.500) (layer F.Fab) (width 0.100)) + (fp_line (start -0.700 0.000) (end 0.700 0.000) (layer F.Fab) (width 0.100)) + (fp_line (start 0.000 -0.700) (end 0.000 0.700) (layer F.Fab) (width 0.100)) + (fp_text value UPM4326X290N (at 0.000 0.000 90) (layer F.Fab) + (effects (font (size 1.000 1.000) (thickness 0.100))) + ) + (fp_text user REF** (at 0.000 0.000 90) hide (layer F.Fab) + (effects (font (size 1.000 1.000) (thickness 0.100))) + ) + (fp_line (start -0.300 -2.138) (end 1.300 -2.138) (layer F.Fab) (width 0.100)) + (fp_line (start 1.300 -2.138) (end 1.300 2.138) (layer F.Fab) (width 0.100)) + (fp_line (start 1.300 2.138) (end -1.300 2.138) (layer F.Fab) (width 0.100)) + (fp_line (start -1.300 2.138) (end -1.300 -1.138) (layer F.Fab) (width 0.100)) + (fp_line (start -1.300 -1.138) (end -0.300 -2.138) (layer F.Fab) (width 0.100)) + (fp_line (start -1.025 -3.200) (end -1.025 -2.388) (layer F.CrtYd) (width 0.050)) + (fp_line (start -1.025 -2.388) (end -1.550 -2.388) (layer F.CrtYd) (width 0.050)) + (fp_line (start -1.550 -2.388) (end -1.550 2.388) (layer F.CrtYd) (width 0.050)) + (fp_line (start -1.550 2.388) (end -1.025 2.388) (layer F.CrtYd) (width 0.050)) + (fp_line (start -1.025 2.388) (end -1.025 3.200) (layer F.CrtYd) (width 0.050)) + (fp_line (start -1.025 3.200) (end 1.025 3.200) (layer F.CrtYd) (width 0.050)) + (fp_line (start 1.025 3.200) (end 1.025 2.388) (layer F.CrtYd) (width 0.050)) + (fp_line (start 1.025 2.388) (end 1.550 2.388) (layer F.CrtYd) (width 0.050)) + (fp_line (start 1.550 2.388) (end 1.550 -2.388) (layer F.CrtYd) (width 0.050)) + (fp_line (start 1.550 -2.388) (end 1.025 -2.388) (layer F.CrtYd) (width 0.050)) + (fp_line (start 1.025 -2.388) (end 1.025 -3.200) (layer F.CrtYd) (width 0.050)) + (fp_line (start 1.025 -3.200) (end -1.025 -3.200) (layer F.CrtYd) (width 0.050)) + (model ../qeda.3dshapes/UPM4326X290N.stp + (at (xyz -0.05118110236220473 -0.08415354330708663 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0 )) + ) +) diff --git a/kicad/qeda.pretty/UPM4336X265N.kicad_mod b/kicad/qeda.pretty/UPM4336X265N.kicad_mod deleted file mode 100644 index 62e61b2..0000000 --- a/kicad/qeda.pretty/UPM4336X265N.kicad_mod +++ /dev/null @@ -1,50 +0,0 @@ -(module UPM4336X265N (layer F.Cu) - (attr smd) - (pad 1 smd rect (at 0.000 -1.800) (size 2.150 2.300) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (pad 2 smd rect (at 0.000 1.800) (size 2.150 2.300) (layers F.Cu F.Mask F.Paste) - (solder_mask_margin 0.050)) - (fp_text reference REF** (at 0.000 0.000) (layer F.SilkS) - (effects (font (size 1.200 1.200) (thickness 0.200))) - ) - (fp_line (start -1.913 -2.262) (end -1.913 2.262) (layer F.SilkS) (width 0.200)) - (fp_line (start 1.913 -2.262) (end 1.913 2.262) (layer F.SilkS) (width 0.200)) - (fp_line (start -1.375 -2.262) (end -1.913 -2.262) (layer F.SilkS) (width 0.200)) - (fp_line (start -1.375 2.262) (end -1.913 2.262) (layer F.SilkS) (width 0.200)) - (fp_line (start 1.375 -2.262) (end 1.913 -2.262) (layer F.SilkS) (width 0.200)) - (fp_line (start 1.375 2.262) (end 1.913 2.262) (layer F.SilkS) (width 0.200)) - (fp_line (start -1.375 -2.262) (end -1.375 -3.250) (layer F.SilkS) (width 0.200)) - (fp_line (start -1.375 -3.250) (end 1.375 -3.250) (layer F.SilkS) (width 0.200)) - (fp_line (start 1.375 -3.250) (end 1.375 -2.262) (layer F.SilkS) (width 0.200)) - (fp_circle (center 0.000 0.000) (end 0.000 0.500) (layer F.Fab) (width 0.100)) - (fp_line (start -0.700 0.000) (end 0.700 0.000) (layer F.Fab) (width 0.100)) - (fp_line (start 0.000 -0.700) (end 0.000 0.700) (layer F.Fab) (width 0.100)) - (fp_text value UPM4336X265N (at 0.000 0.000 90) (layer F.Fab) - (effects (font (size 1.000 1.000) (thickness 0.100))) - ) - (fp_text user REF** (at 0.000 0.000 90) hide (layer F.Fab) - (effects (font (size 1.000 1.000) (thickness 0.100))) - ) - (fp_line (start -0.813 -2.162) (end 1.813 -2.162) (layer F.Fab) (width 0.100)) - (fp_line (start 1.813 -2.162) (end 1.813 2.162) (layer F.Fab) (width 0.100)) - (fp_line (start 1.813 2.162) (end -1.813 2.162) (layer F.Fab) (width 0.100)) - (fp_line (start -1.813 2.162) (end -1.813 -1.162) (layer F.Fab) (width 0.100)) - (fp_line (start -1.813 -1.162) (end -0.813 -2.162) (layer F.Fab) (width 0.100)) - (fp_line (start -1.325 -3.200) (end -1.325 -2.412) (layer F.CrtYd) (width 0.050)) - (fp_line (start -1.325 -2.412) (end -2.063 -2.412) (layer F.CrtYd) (width 0.050)) - (fp_line (start -2.063 -2.412) (end -2.063 2.412) (layer F.CrtYd) (width 0.050)) - (fp_line (start -2.063 2.412) (end -1.325 2.412) (layer F.CrtYd) (width 0.050)) - (fp_line (start -1.325 2.412) (end -1.325 3.200) (layer F.CrtYd) (width 0.050)) - (fp_line (start -1.325 3.200) (end 1.325 3.200) (layer F.CrtYd) (width 0.050)) - (fp_line (start 1.325 3.200) (end 1.325 2.412) (layer F.CrtYd) (width 0.050)) - (fp_line (start 1.325 2.412) (end 2.063 2.412) (layer F.CrtYd) (width 0.050)) - (fp_line (start 2.063 2.412) (end 2.063 -2.412) (layer F.CrtYd) (width 0.050)) - (fp_line (start 2.063 -2.412) (end 1.325 -2.412) (layer F.CrtYd) (width 0.050)) - (fp_line (start 1.325 -2.412) (end 1.325 -3.200) (layer F.CrtYd) (width 0.050)) - (fp_line (start 1.325 -3.200) (end -1.325 -3.200) (layer F.CrtYd) (width 0.050)) - (model ../qeda.3dshapes/UPM4336X265N.stp - (at (xyz -0.07135826771653543 -0.08513779527559054 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0 )) - ) -) diff --git a/kicad/qeda.pretty/VREG_JK60-090.kicad_mod b/kicad/qeda.pretty/VREG_JK60-090.kicad_mod new file mode 100644 index 0000000..00c9e17 --- /dev/null +++ b/kicad/qeda.pretty/VREG_JK60-090.kicad_mod @@ -0,0 +1,31 @@ +(module VREG_JK60-090 (layer F.Cu) + (pad 1 thru_hole circle (at -2.550 -0.550) (size 1.200 1.200) (layers F.Cu F.Mask *.Cu B.Cu B.Mask) + (drill 0.800) + (solder_mask_margin 0.050)) + (pad 2 thru_hole circle (at 2.550 0.550) (size 1.200 1.200) (layers F.Cu F.Mask *.Cu B.Cu B.Mask) + (drill 0.800) + (solder_mask_margin 0.050)) + (fp_text reference REF** (at 0.000 0.000) (layer F.SilkS) + (effects (font (size 1.200 1.200) (thickness 0.200))) + ) + (fp_line (start -5.950 -1.600) (end 5.950 -1.600) (layer F.SilkS) (width 0.200)) + (fp_line (start 5.950 -1.600) (end 5.950 1.600) (layer F.SilkS) (width 0.200)) + (fp_line (start 5.950 1.600) (end -5.950 1.600) (layer F.SilkS) (width 0.200)) + (fp_line (start -5.950 1.600) (end -5.950 -1.600) (layer F.SilkS) (width 0.200)) + (fp_circle (center 0.000 0.000) (end 0.000 0.500) (layer F.Fab) (width 0.100)) + (fp_line (start -0.700 0.000) (end 0.700 0.000) (layer F.Fab) (width 0.100)) + (fp_line (start 0.000 -0.700) (end 0.000 0.700) (layer F.Fab) (width 0.100)) + (fp_text value VREG_JK60-090 (at 0.000 0.000 0) (layer F.Fab) + (effects (font (size 1.000 1.000) (thickness 0.100))) + ) + (fp_text user REF** (at 0.000 0.000 0) hide (layer F.Fab) + (effects (font (size 1.000 1.000) (thickness 0.100))) + ) + (fp_rect (start -5.850 -1.500) (end 5.850 1.500) (layer F.Fab) (width 0.100)) + (fp_rect (start -6.100 -1.750) (end 6.100 1.750) (layer F.CrtYd) (width 0.050)) + (model ../qeda.3dshapes/VREG_JK60-090.stp + (at (xyz -0.23031496062992127 -0.05905511811023623 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0 )) + ) +) diff --git a/kicad/qeda.pretty/VREG_JK60-110.kicad_mod b/kicad/qeda.pretty/VREG_JK60-110.kicad_mod deleted file mode 100644 index 6448572..0000000 --- a/kicad/qeda.pretty/VREG_JK60-110.kicad_mod +++ /dev/null @@ -1,33 +0,0 @@ -(module VREG_JK60-110 (layer F.Cu) - (pad 1 thru_hole circle (at -2.550 -0.700) (size 1.400 1.400) (layers F.Cu F.Mask *.Cu B.Cu B.Mask) - (drill 1.000) - (solder_mask_margin 0.050)) - (pad 2 thru_hole circle (at 2.550 0.700) (size 1.400 1.400) (layers F.Cu F.Mask *.Cu B.Cu B.Mask) - (drill 1.000) - (solder_mask_margin 0.050)) - (fp_text reference REF** (at 0.000 0.000) (layer F.SilkS) - (effects (font (size 1.200 1.200) (thickness 0.200))) - ) - (fp_line (start -6.600 -1.600) (end -3.550 -1.600) (layer F.SilkS) (width 0.200)) - (fp_line (start -1.550 -1.600) (end 6.600 -1.600) (layer F.SilkS) (width 0.200)) - (fp_line (start 6.600 -1.600) (end 6.600 1.600) (layer F.SilkS) (width 0.200)) - (fp_line (start -6.600 1.600) (end 1.550 1.600) (layer F.SilkS) (width 0.200)) - (fp_line (start 3.550 1.600) (end 6.600 1.600) (layer F.SilkS) (width 0.200)) - (fp_line (start -6.600 1.600) (end -6.600 -1.600) (layer F.SilkS) (width 0.200)) - (fp_circle (center 0.000 0.000) (end 0.000 0.500) (layer F.Fab) (width 0.100)) - (fp_line (start -0.700 0.000) (end 0.700 0.000) (layer F.Fab) (width 0.100)) - (fp_line (start 0.000 -0.700) (end 0.000 0.700) (layer F.Fab) (width 0.100)) - (fp_text value VREG_JK60-110 (at 0.000 0.000 0) (layer F.Fab) - (effects (font (size 1.000 1.000) (thickness 0.100))) - ) - (fp_text user REF** (at 0.000 0.000 0) hide (layer F.Fab) - (effects (font (size 1.000 1.000) (thickness 0.100))) - ) - (fp_rect (start -6.500 -1.500) (end 6.500 1.500) (layer F.Fab) (width 0.100)) - (fp_rect (start -6.750 -1.750) (end 6.750 1.750) (layer F.CrtYd) (width 0.050)) - (model ../qeda.3dshapes/VREG_JK60-110.stp - (at (xyz -0.2559055118110236 -0.05905511811023623 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0 )) - ) -)